EDA交通灯信号控制器的设计.doc

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA交通灯信号控制器的设计课案

课程设计说明书 题目 交通灯信号控制器的设计 目录 一、设计内容及其要求: 3 二、设计主要内容: 4 2.1 设计的总体方案 4 2.2交通灯思路分析 5 三、设计程序 5 四.波形仿真及其编程下载 12 4.1仿真波形 12 4.2 波形分析 12 4.3编程下载 13 四、引脚分配 13 五、心得与体会 13 参考文献: 14 交通灯的信号控制器的设计说明书 一、设计内容及其要求: 试设计一个交通信号等控制器,用于主干道与支道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通等切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。此外,主干道和支道每次通行的时间不得短于30秒,而在两个状态交换过程出现的“主黄,支红”和“主红,支黄”状态,持续时间都为4秒。主干道和支道的红、黄、绿灯驱动信号接LED,时间都需通过数码管显示。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。 二、设计主要内容: 2.1 设计的总体方案 根据设计要求和系统所具有功能,并参考相关的文献资料设计画出如下所示的十字路口交通灯控制器系统框图,及为设计的总体方案,框图如下图2.1所示: 图2.1 系统的框图 2.2交通灯思路分析 因为有主干道和支道,所以可以定义为5种状态,分别是1:主道绿灯,支道红灯且没有车辆行驶;2:主道绿灯,支道红灯或者支道有车辆驶入;3:主道红灯,支道绿灯;4:主道红灯,支道黄灯;5:主道黄灯,支道红灯。并设置主道红灯亮34秒,支道绿灯亮30秒,黄灯亮4秒的设计要求。如下图: 图2.2 三种灯的循环顺序 三、设计程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Entity redgreen is Port ( clock_in:in std_logic; hold_state:in std_logic; reset_state:in std_logic; hold_state1:in std_logic; reset_state1:in std_logic; led_red,led_green,led_yellow,led_red1,led_green1,led_yellow1:out std_logic; select_display:out std_logic_vector(0 to 6); led_selout:out std_logic_vector(0 to 3) ); end; Architecture redgreen1 of redgreen is constant loop_hz:integer: --constant loop_hz:integer:=2; constant loop_hz1:integer:=500; signal count_time:integer range 0 to loop_hz; signal count_time1:integer range 0 to loop_hz1; signal clock_buffer:std_logic; signal clock_buffer1:std_logic; signal clock_out:std_logic; signal clock_out1:std_logic; signal count_num:integer range 0 to 68; signal count_num1:integer range 0 to 68; signal display_num:integer range 0 to 68; signal display_num1:integer range 0 to 68; signal display_shi:integer range 0 to 9; signal display_ge:integer range 0 to 9; signal display_shi1:integer range 0 to 9; signal display_ge1:integer range 0 to 9; signal b:integer range 0 to 9; signal a:std_lo

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档