- 1、本文档共17页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子CAD和CPLD
《CPLD及电子CAD》
大规模集成电路的设计主要针对可编程逻辑器件PLD,复杂可编程逻辑器件CPLD以及现场可编程门阵列FPGA等在大规模集成电路进行设计和仿真过程中,我们在设计时应选择具有代表性的开发软件和设计目标器件。
在课堂上我们主要用的开发软件为MAX+plus II,在这一开发软件平台上,图形输入的设计电路的方法是最简单直观的设计方法,几乎不用学习更多的设计程序或语言工具。并且无论采用那种输入方式对电路进行设计,仿真或测试,其EAD技术手段都有相似之处。
实验一 组合逻辑设计及实验装置的使用方法
实验目的
了解EAD一般设计流程图
熟知文本输入类型
二.实验原理
1.如下图是EAD的一般设计流程
文件输入类型
用MAX+plusII设计电路有如下类型
图形编辑(电路原理图)
文本编辑(硬件描述语言VHDL,AHDL等)
符号编辑
波形编辑
三.实验步骤
1.绘图
1)启动MAX+plusII图标
2)编辑界面 主菜单“File”→“New”→选择“File Type”DGF→OK
3)元件库,器件放置如下图
4)器件排列,连接,编辑和修改
5)文件保存
2.项目编辑
1)设置工程文件选择“File”→“Set Project to Current File”
2)选择目标器件“Assign”→“Device”→ACEX1K系列EPK1K30TC144-1目标器件→OK
3)编辑及纠错 菜单“MAX+plusII”→“Compeiler”→“Start
3.项目检测
1)逻辑仿真 “File”→“New”下WEF项
2)选择信号结点“Node”→“Enter Nodes from SNF”点击“list”
3)设置波形参量,包括网格设置,仿真时间长度
4)编辑输入激励信号(产生的波形如下图)
5)波形存盘
6)仿真
7)分配管脚,如图
4.元件封装
封装方法:打开文件“File”→Great Defaul Symbol”,此时即将当前文件变成了一个封装好的元件。
四.小结与体会
利用EAD工具进行电路原理图输入设计,该优点是设计者能利用已有的电路知识迅速入门,完成中小规模的电路系统设计,而不必具备其他诸如编成设术,硬件语言等。在编辑电路图过程中调用的各种元器件在元器件库中,由厂家提供并随软件一同按装到计算机中,集中存储在指定路径的器件或电路文件中。同时,还学习到可以自己建立一个自己专用的工具器件库。
实验二用D触发器设计异步四位二进制加法计数器
一、实验目的
1、了解时序电路的经典设计方法,即用D触发器和一般逻辑门组成的时序逻辑电路、
2、掌握组合逻辑电路的设计方法
3、了解异步计数器计数的方法
二.实验内容
用D触发器设计异步四位二进制加法计数器;
三.实验原理
如下实验原理图,进行电路原理图输入设计:
四.小结与体会
在对于顶层设计,输入,输出引脚就是最终集成器件产品对外的引脚,若是底层子电路的设计,则输入输出引脚就是该电路与其他电路部件之间的接口,因此在编辑图形时须对其进行唯一性命名。在项目编辑中只有将输入文件设置成为工程文件,即当前文件才能对其进行编译处理。注意事项,文件夹名不能用中,且不可带空格。
实验三 6进制、60,24进制计数器
一、实验目的
1、掌握组合逻辑电路的设计方法
2,加深对CPLD设计过程的了解、比较原理图输入与文本输入的优劣
3、为下面试验特别是数字钟综合设计做好基础
二、实验原理
1.用VHDL编写程序代码
1)60进制VHDL代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.stu_logic_unsigned_all;
entity c60_41_42 is
port (clk,clr,en:in stu_logic;
carry:out std_logic;
ge,shi:out stu_logic_vector(3 downto 0));
end;
architecture one of c60_41_42 is
signal g,s: std_logic_vector (3 downto 0);
begin
process(clk,clr,en,g,s)
begin
if clr=’1’ then
g=”0000”;
s=”0000”;
else if clk’event and clk=’1’ then
if en=’0’ then
if g=”1001” and s=”0101” then
g=”0000”;
s=”0000”;
carry=’1’;
elsif g=”1001” then
g=”0000”;
s=s+1;
eles
g=g+1;
carry=’0’;
end if;
en
您可能关注的文档
- 电信企业精耕细作时代地选择.doc
- 电信天翼3G无线上网卡地安装方法.doc
- 电信网主流技术及基本知识.doc
- 电信网及互联网安全等级保护实施指南v4.doc
- 电信移动基站门禁管理案例.doc
- 电信营销执行力地培训.doc
- 电信业务员执行力地培训.doc
- 电信企业执行力及领导力.doc
- 电信运营商差异化营销服务流程及策略探讨.doc
- 电力专用不间断电源(UPS)地原理及应用(讲稿).doc
- 25上半年2期套题班-行政职业能力测验(八).docx
- 公考讲义-2025年1月时政汇总.pdf
- 2025年省考逻辑填空1000 高频实词积累+刷题早读课 讲义.pdf
- 25上半年2期套题班-行政职业能力测验(九).docx
- 2025四川事业编FB综合岗考试-综合能力测试讲义-主观题基础,案例分析题,公文写作及文章写作题.pdf
- 25上半年2期套题班-行政职业能力测验(五).docx
- 2025申论多省联考刷题课真题资料-2025国考执法课程.doc
- 2025申论多省联考刷题课真题资料-2024江西执法课程.doc
- 25上半年2期套题班-行政职业能力测验(十).docx
- 2025申论多省联考刷题课真题资料-2024福建县乡课程.doc
最近下载
- 13S201室外消火栓及消防水鹤安装.docx
- 2025年春北师大版数学四年级下册教学计划.docx VIP
- 整理书桌课件(共28张PPT) 二年级上册劳动鲁科版.pptx VIP
- 2023年中国即时配送行业趋势白皮书-沙利文-2024.3.pptx
- 玻璃绝缘子技术规范书.docx
- 2023版新版资质认定评审准则培训课件.pptx
- 四年级下册科学活动手册答案(2023年新教科版).docx
- 2024_2025学年新教材高中地理第三章区域合作测评含解析湘教版选择性必修第二册.docx VIP
- 七年级下册第三单元整体教学说课课件.pptx
- GB∕T 26714-2019 油墨圆珠笔和笔芯(可复制版).pdf
文档评论(0)