- 1、本文档共19页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
第14章 Verilog 对验证的支持
第14章 对验证的支持
学习内容
理解Verilog文本输出
理解不同的读取仿真时间的系统函数
理解 Verilog文件I/O功能
验证系统中的任务(task)及函数(function)
Verilog读取当前仿真时间的系统函数
$time
$stime
$realtime
Verilog支持文本输出的系统任务:
$display
$strobe
$write
$monitor
仿真时间
访问仿真时间
$time,$realtime,和$stime函数返回当前仿真时间。
这些函数的返回值使用调用模块中`timescale定义的时间单位
$time返回一个64位整数时间值。
$stime返回一个32位整数时间值。
$realtime返回一个实数时间值。
$stime函数返回一个32位整数时间值。对大于232的时间,返回模232的值。使用它可以节省显示及打印空间。
输出格式化时间信息
`timescale 10ns / 100ps
module top;
reg in1;
not m1( o1, in1);
initial begin
$timeformat(-9, 2, ns, 10);
in1 = 0;
#8 in1 = 1;
#10 $display(%t %b %b, $realtime, in1, o1);
#10 $finish;
end
endmodule
unit:0(s)到-15(fs)之间的整数,表示时间度量
precision:要显示的十进制小数位数。
suffix:在时间值后显示的字符串
min_width:显示前三项的最小宽度
若使用多个`timescale,以最小的时间精度显示时间值。
可用系统任务$timeformat结合格式符%t全局控制时间显示方式。
$timeformat系统任务的语法为:
$timeformat(unit,precision,suffix,min_width);
输出格式化时间信息
`timescale 1 ns / 10 ps
module top;
reg in1;
not #9.53 n1 (o1, in1);
initial
begin
$display(time realtime stime \t in1 \t o1 );
$timeformat(-9, 2, ns, 10);
$monitor(%d %t %d \t %b \t %b, $time, $realtime,
$stime, in1, o1);
in1 = 0;
#10 in1 = 1;
#10 $finish;
end
endmodule
time realtime stime in1 o1
0 0.00ns 0 0 x
10 9.53ns 10 0 1
10 10.00ns 10 1 1
20 19.53ns 20 1 0
输出格式化时间信息
对#延迟,Verilog将延迟值舍入最近(四舍五入)时间精度值。
例如,上面的例子修改为:
`timescale 1ns/ 100ps
not #9.42 n1 (o1, in1);
结果为:
time realtime stime in1 o1
0 0.00ns 0 0 x
9 9.40ns 9 0 1
10 10.00ns 10 1 1
19 19.40ns 19 1 0
`timescale 1ns/ 100ps
not #9.49 n1 (o1, in1);
结果为:
time realtime stime in1 o1
0 0.00ns 0 0 x
9 9.50ns 9 0 1
10 10.00ns 10 1 1
19 19.50ns
您可能关注的文档
最近下载
- 《包装工程》投稿写作模板 模板使用说明: 1. 请将稿件直接 ....doc
- 百胜包装品工厂质量体系审核纲要及评估细则 V2012.pdf VIP
- 个人信用报告征信详细版纸质版2024年2月最新版带水印可编辑-实线.pdf
- 第三十届WMO省测特训营6年级第二讲——寻找透明的积木.docx VIP
- 第三十届WMO省测特训营6年级第二讲——课后练习题含答案.docx VIP
- 第三十届WMO省测特训营6年级第一讲——课后练习题含答案.pdf VIP
- PBL病例—休克【24页】(最新文档).pptx VIP
- 故事——小羊过桥.ppt
- 征信简版电子版PDF个人信用报告最新版2024年可编辑带水印模板.pdf
- 食品用包材供应商现场审核方案(检查表).xls VIP
文档评论(0)