EDA第五章VHDL设计进阶4-8节-子程序以后-定稿.ppt

EDA第五章VHDL设计进阶4-8节-子程序以后-定稿.ppt

  1. 1、本文档共56页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA第五章VHDL设计进阶4-8节-子程序以后-定稿剖析

LIBRARY IEEE; II、 8-3线优先编码器 例5-45 方法1 USE IEEE.STD_LOGIC_1164.ALL; --使用条件赋值语句实现 ENTITY encoder IS PORT( a,b,c,d,e,f,g,h:IN STD_LOGIC; out0,out1,out2:OUT STD_LOGIC); END encoder; ARCHITECTURE behave1 OF encoder IS --条件赋值方式 SIGNAL outvec:STD_LOGIC_VECTOR(2 WOWNTO 0); BEGIN outvec(2 DOWNTO 0)= “111”WHEN h=‘1’ ELSE “110”WHEN g=‘1’ ELSE “101”WHEN f=‘1’ ELSE “100”WHEN e=‘1’ ELSE “011”WHEN d=‘1’ ELSE “010”WHEN c=‘1’ ELSE “001”WHEN b=‘1’ ELSE “000”WHEN a=‘1’ ELSE “000”; out0 = outvec(0); out1 = outvec(1); out2 = outvec(2); END behave1; LIBRARY IEEE; -- 8-3线优先编码器 例5-45 方法2 USE IEEE.STD_LOGIC_1164.ALL; --使用LOOP语句实现 USE IEEE.STD_LOGIC_ARITH.ALL; ENTITY encoder IS PORT( a,b,c,d,e,f,g,h:IN STD_LOGIC; out0,out1,out2:OUT STD_LOGIC); END encoder; ARCHITECTURE behave2 OF encoder IS BEGIN PROCESS(a,b,c,d,e,f,g,h) VARIABLE inputs:STD_LOGIC_VECTOR(7 DOWNTO 0); VARIABLE i:INTEGER; BEGIN inputs:=(h,g,f,e,d,c,b,a);--使用位置关联法 i:=7; WHILE i0 AND inputs(i)/=‘1’ LOOP—找到第一个inputs(i)=‘1’ i:=i-1; END LOOP; (out2,out1,out0)=CONV_STD_LOGIC_VECTOR(i,3); END PROCESS;--将整形i转化为3位标准逻辑矢量类型赋给端口 END behave2; LIBRARY IEEE; -- 8-3线优先编码器 例5-45 方法3 USE IEEE.STD_LOGIC_1164.ALL; --使用IF语句实现 ENTITY encoder IS PORT( in1:IN STD_LOGIC_VECTOR(7 DOWNTO 0); out1:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END encoder; ARCHITECTURE behave3 OF encoder IS BEGIN PROCESS(in1) BEGIN IF in1(7)=‘1’ THEN out1=“111”;--注意优先级 ELSIF in1(6)=‘1’ THEN out1=“110”; ELSIF in1(5)=‘1’ THEN out1=“101”; ELSIF in1(4)=‘1’ THEN out1=“100”; ELSIF in1(3)=‘1’ THEN out1=“011”; ELSIF in1(2)=‘1’ THEN out1=“010”; ELSIF in1(1)=‘1’ THEN out1=“001”; ELSIF in1(0)=‘1’ THEN out

文档评论(0)

jiayou10 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8133070117000003

1亿VIP精品文档

相关文档