第3章 VHDL基础4 26.pptVIP

  1. 1、本文档共446页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
第3章 VHDL基础4 26

EDA与FPGA 第3章 VHDL编程基础 3.1 概述 1、 什么是VHDL? VHSIC 超高速集成电路 Very high speed integrated circuit Hardware Descriptiom Language 3.1 概述 2 、VHDL的历史 3.1 概述 3 、VHDL的作用 1)打破了软、硬件的界限 传统的数字系统设计分为: 硬件设计(硬件设计人员) 软件设计(软件设计人员) 因此,VHDL是电子系统设计者和EDA工具之间的 界面。 3.1 概述 3、VHDL的作用 1)打破了软、硬件的界限 EDA工具及HDL的流行,使电子系统向集成化、大 规模和高速度等方向发展。 美国硅谷约有80%的ASIC、CPLD/FPGA已采 用HDL进行设计。 3.1 概述 2)VHDL与C、C++的比较 C、C++代替汇编等语言 VHDL代替原理图、逻辑状态图等 3.1 概述 3)VHDL与电路原理图描述的比较: VHDL具有较强的抽象描述能力,可进行系统行为级别的描述,描述更为简洁、效率更高。 VHDL描述与实现工艺无关。 电路原理图描述必须给出完整的、具体的电路结构图,不能进行抽象描述。描述繁杂,效率低。 电路原理图描述与实现工艺有关。 3.1 概述 4 、VHDL语言的特点 VHDL具有强大的语言结构,系统硬件描述能力强、设计效率高,具有较高的抽象描述能力。 VHDL语言可读性强,易于修改和发现错误。 VHDL具有丰富的仿真语句和库函数,可对VHDL源代码进行早期功能仿真,有利于大系统的设计与验证。 VHDL与硬件电路关系不大。 VHDL设计不依赖于器件,与工艺无关。 3.1 概述 4 、VHDL语言的特点 VHDL移植性好。 VHDL体系符合TOP-DOWN和CE(并行工程)设计思想。 上市时间快,成本低 易于ASIC实现。 3.1 概述 5 、VHDL与其他硬件描述语言的比较 行为级——RTL级——门电路级 VHDL: 具有较强的系统级抽象描述能力,适合行为级和RTL级的描述,设计者可不必了解电路细节,所做工作较少,效率高。但对综合器的要求高,不易控制底层电路的生成。IEEE标准,支持广泛。 RTL:Register Translate Level 寄存器传 输级 3.1 概述 5 、VHDL与其他硬件描述语言的比较 行为级——RTL级——门电路级 Verilog HDL: 系统级抽象描述能力比VHDL稍差,门级开关电路描述方面比VHDL强。适合RTL和门电路级的描述。设计者需要了解电路细节,所做工作较多。IEEE标准,支持广泛。 3.1 概述 5 、VHDL与其他硬件描述语言的比较 行为级——RTL级——门电路级 ABEL 、PALASM 、AHDL: 系统级抽象描述能力差,一般做门级电路描述。要求设计者对电路细节有详细的了解,对综合器的性能要求低,易于控制底层电路资源,支持少 。 3.1 概述 6 、VHDL设计简述 VHDL主要用于描述数字系统的结构、行为、功能 和接口。 VHDL将一个设计(元件、电路、系统)分为: 外部(可视部分,端口) 内部(不可视部分,内部功能,算法) 3.2 VHDL 基本语法 VHDL语言的一些基本特点: VHDL语言由保留关键字组成; 一般,VHDL语言对字母大小写不敏感。特殊:用‘’或“”所括起来的字符、字符串; 每条VHDL语言用“;”结束; VHDL语言对空格不敏感,增加可读性; 在“--”之后的是VHDL的注释语句; VHDL有以下的描述风格:行为描述 数据流描述 结构化描述 3.2 VHDL 基本语法 3.2 VHDL 基本语法 3.2 VHDL 基本语法 3.2 VHDL 基本语法 3.2 VHDL 基本语法 3.2 VHDL 基本语法 语法: ENTITY entity_name IS Generic declarations Port declarations END entity_name; (1076-1987 version) END ENTITY entity_name; (1076-1993 version) 3.2 VHDL 基本语法 3.2 VHDL 基本语法 (1)类属说明 确定实体或组件(即元件)中定义的局部常数。 模块化设计时

文档评论(0)

118zhuanqian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档