硬件描述语言Verilog(第3章).PPT

  1. 1、本文档共60页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
硬件描述语言Verilog(第3章)

(2)使用定义参数语句(defparam语句)修改参数值 在进行模块调用时更改被调用模块内参数值的第二种方法就是利用“参数重定义语句”(defparam语句)。 参数重定义语句的语法格式是: defparam 参数名1=参数值1, 参数名2=参数值2,   ... 参数名n=参数值n; 需要注意的是,参数名必须采用分级路径的形式,才能锁定需要修改的参数是哪个模块当中的。 * * Microelectronics School Xidian University * * Microelectronics School Xidian University 例3.3-7使用defparam语句修改参数值 module halfadder(a,b,s,c); //半加器模块halfadder input a,b; output c,s; parameter xor_delay=2,and_delay=3; assign #xor_delay s=a^b; assign #and_delay c=ab; endmodule ? module fulladder(p,q,ci,co,sum); //全加器模块fulladder input p,q,ci; output co,sum; parameter or_delay=1 wire w1,w2,w3; halfadder U1(p,q,w1,w2); halfadder U2(ci,w1,sum,w3); or #or_delay U3(co,w2,w3); endmodule module top1(top1a,top1b,top1s,top1c); //修改半加器模块参数的模块top1 input top1a,top1b; output top1s,top1c; defparam U1.xor_delay=4, U1.and_delay=5; //名为U1的半加器实例中对参数xor_delay和参数and_delay值的修改 halfadder U1(top1a,top1b,top1s,top1c); endmodule module top2(top2p,top2q,top2ci,top2co,top2sum);//修改全加器模块参数的模块top2 input top2p,top2q,top2ci; output top2co,top2sum; defparam U2.U1.xor_delay=6, U2.U2.and_delay=7; //名为U2的全加器实例中引用的名为U3的半加器实例中 //对参数xor_delay和and_delay的修改 U2.or_delay=5; //名为U2的全加器实例中对参数or_delay值的修改 fulladder U2(top2p,top2q,top2ci,top2co,top2sum); endmodule 3.3.2门级建模 (1)Verilog HDL基本门级元件的类型 Verilog HDL内置26个基本元件,其中14个是门级元件,12个为开关级元件,这26个基本元件及其分类见下表。 * * Microelectronics School Xidian University 类型 元件 基本门 多输入门 and, nand, or, nor, xor, xnor 多输出门 buf, not 三态门 允许定义驱动强度 buif0, bufif1, notif0, notif1 mos 开关 无驱动强度 nmos, pmos, cmos rnmos, rpmos, rcmos 双向开关 无驱动强度 tran, tranif0, tranif1 无驱动强度 rtran, rtranif0, rtranif1 上拉、下拉电阻 允许定义驱动强度 pullup, pulldown 这里重点介绍门级元件。Verilog HDL中丰富的门级元件为电路的门级结构提供了方便。Verilog HDL语言中的门级元件见下表。 * * Microelectronics School Xidian University 类别 关键字 符号示意图 门名称 多输入门 and 与门 nand 与非门 or 或门 nor 或非门 xor 异或门 xnor 异或非门 多输出门 Buf 缓冲器 not 非门 三态门 bufif1 4种三态门 buif0 notif1 notif0 (2)门级模块调用 多输入门元件调用的语法格式是: 门类型 实例名(输出端口,输入端口1,输入端口2,……,输入端口n); 例如:

文档评论(0)

2105194781 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档