VHDL语言进行集成电路设计.ppt

  1. 1、本文档共35页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
主讲人 曾繁泰 山东大学 VHDL程序设计教程 主讲人 曾繁泰 概论 本章阐述在VHDL程序设计完成之后,怎样进行处理,才能完成集成电路设计的过程。 计算机的应用促进了新学科的诞生。 EDA 工程就是以计算机为工作平台,以EDA 软件工具为开发环境,以硬件描述语言为设计语言,以可编程器件为实验载体,以ASIC、SOC芯片为设计目标,以电子系统设计为应用方向电子产品自动化设计过程。 现代电子设计方法是现代电子设计的基础,是电子线路原理设计,电子系统整机设计,集成电路芯片设计的方法学。 现代电子设计方法的研究目标主要是怎样用VHDL语言设计超大规模专用集成电路(ASIC),怎样对一片超大规模集成电路进行功能划分,VHDL语言描述、逻辑综合、仿真分析、形式验证、设计实现是现代电子设计方法要解决的主要问题。 电子设计技术的进展 经典电子设计方法的特征 采用自下而上的(Bottom UP)的设计方法。 :采用通用元器件,每个元器件的功能都是确定的,利用这些元器件搭建目标功能模块。设计者必须对成千上万种通用元器件的性能特点熟练掌握,并且元器件容易购到,成本较低。 在硬件模块电路构成之后才能进行硬件、软件调试。如果设计过程中的问题到后期才会被发现,这就可能造成推翻设计重新开始的危险,使设计周期大大加长,延误了电子产品的按时推出。 设计文件以电路原理图为核心。 EDA工具 EDA工程概念 EDA工程领域 FPGA器件 EDA 工程的实现载体---FPGA器件 一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题,解决这一问题的有效方法就是采用ASIC(Application Specific Integrated Circuits)芯片进行设计。 其优点是:芯片可以获得最优的性能,即面积利用率高、速度快、功耗低。缺点是:开发周期长,费用高,只适合大批量的产品开发。 分为:全定制ASIC,半定制ASIC,可编程ASIC(也称为可编程专用集成电路)。 VHDL语言 EDA 工程的设计语言---VHDL语言 VHDL是一种全方位的硬件描述语言,支持系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构描述、数据流描述和行为描述及三种形式的混合描述。VHDL 语言覆盖了多种硬件语言的功能,“自顶向下”或“自底向上”的电子系统设计过程都可以用VHDL语言来完成。 设计描述和系统建模 IP设计和SOC设计 将整个电子系统集成在同一芯片上,称为片上系统(SOC)。 产品设计日益复杂,产品的生命周期不断缩短,因而要求设计出更新、更快、更廉价的产品。在设计印刷电路板时采用IP模块设计方法,以及提供顺畅且可靠的设计流程至关重要。即:项目设计→ASIC设计→IP模块设计→ PCB设计→ 仿真设计→测试设计。在PCB设计之前先设计ASIC芯片,尽量减少板上元件数目,提高集成度,提高可靠性。 设计描述和系统建模 软IP核与硬IP核 软IP是用硬件描述语言设计的具有一定电路功能的程序模块。 硬IP是在软IP的基础上,结合半导体工艺、设计规则而生成的集成电路版图。 软、硬IP的概念 设计复用方法 IP(intelligent property)模块设计不仅是集成电路设计公司的重要任务,也是EDA工具开发公司的实力表现,一套EDA工具,它提供的IP模块越丰富,用户的设计就越方便、越容易。 关于应该使用哪种类型的IP至今仍有争议。究竟是使用需要VHDL代码进入综合和布局布线过程的软IP,还是使用芯片中物理掩膜布局已得到证明的硬IP,要根据设计项目的具体情况来确定。 IP复用流程 设计综合 高层次综合 高层次综合范畴 高层次综合流程 设计仿真 仿真(emulation),利用计算机硬件平台,EDA工程设计环境,搭建虚拟的设计系统,在计算机上进行波形分析,时序分析,功能验证的过程称为仿真。 EDA工具的不完备,设计项目的修改,描述文件的错误等原因,都使设计项目需要仿真、验证。 仿真的层次:电路级仿真;逻辑仿真;开关级仿真;寄存器传输级仿真;高层次仿真。 仿真系统构成 常用仿真方法 在EDA工程领域,仿真分为功能仿真和时序仿真。前者验证设计模块的逻辑功能,后者用于验证设计模块的时序关系;无论是功能仿真,还是时序仿真,其仿真方法有两种: 交互式仿真方法 测试平台法 集成系统设计方法 在同一个芯片上集成了控制部件(微处理器、存储器、I/O接口)和执行部件(微型开关,微机械),能够自成体系,独立工作的芯片称为系统芯片 片上系统的设计方法 : 1.系统设计 ; 2.综合 (逻辑

文档评论(0)

wuyoujun92 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档