第五章基本数字电路的EDA实现.ppt

  1. 1、本文档共57页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可逆计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY countupdown IS PORT(f10MHz:IN STD_LOGIC; clr,en,load:IN STD_LOGIC; din:IN STD_LOGIC_VECTOR(7 DOWNTO 0); updown:IN STD_LOGIC; q:BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0)); END countupdown; ARCHITECTURE a OF countupdown IS SIGNAL cnt:INTEGER RANGE 0 TO SIGNAL clk:STD_LOGIC; BEGIN PROCESS(f10MHz) BEGIN IF f10MHzEVENT AND f10MHz=1 THEN IF cnt=4999999 THEN cnt=0;clk=NOT clk; ELSE cnt=cnt+1; END IF; END IF; END PROCESS; PROCESS(clk) BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN q ELSIF EN=1 THEN IF load=1 THEN q=din; ELSIF updown=1 THEN q=q+1; ELSE q=q-1; END IF; END IF; END IF; END PROCESS; END a; 进制计数器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY count128 IS PORT(f10MHz :IN STD_LOGIC; clr,en,load:IN STD_LOGIC; din :IN STD_LOGIC_VECTOR(7 DOWNTO 0); q :BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0)); END count128; ARCHITECTURE a OF count128 IS SIGNAL cnt:INTEGER RANGE 0 TO SIGNAL clk:STD_LOGIC; BEGIN PROCESS(f10MHz) BEGIN IF f10MHzEVENT AND f10MHz=1 THEN IF cnt=4999999 THEN cnt=0;clk=NOT clk; ELSE cnt=cnt+1; END IF; END IF; END PROCESS; PROCESS(clk) BEGIN IF clkevent AND clk=1 THEN IF clr=0 THEN q ELSIF qTHEN --确定进制 q ELSIF EN=1 THEN IF load=1 THEN q=din; ELSE q=q+1; END IF; END IF; END IF; END PROCESS; END a; 移位寄存器的设计 数字系统中,经常要用到可以存放二进制数据的部件,这种部件称为数据寄存器。从硬件上看,寄存器就是一组可储存二进制数的触发器,每个触发器都可储存一位二进制位,比如12位寄存器用12个D触发器组合即可实现。 当时钟有效边沿到达时,一组触发器的输入端同时移入各触发器的输出端,时钟撤销后各触发器的输出不变,除非下一有效边沿到来时输入端数据有变化。这种寄存器称为基本的数据寄存器。 串入串出移位寄存器 串入串出移位寄存器原理图如图5-14所示,8位移位寄存器由8个D触发器串联构成,在时钟信号的作用下,数据从低位向高位移动。 设计这种串入串出移位寄

文档评论(0)

1112111 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档