清华模电数电第29讲译码器.ppt

  1. 1、本文档共21页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
清华模电数电第29讲译码器

模电数电 第二十九讲 译码器 译码器 译码:将每个输入的二进制代码译成对应的输出高、低电平信号。 常用的有:二进制译码器,二-十进制译码器,显示译码器等 真值表 逻辑表达式: 集成译码器实例:74HC138 74HC138的功能表: 利用附加控制端进行扩展 例: 用74HC138(3线—8线译码器) 4线—16线译码器 二、二—十进制译码器 将输入BCD码的4个代码译成10个高、低电平的输出信号 BCD码以外的伪码,输出均无低电平信号产生 例:74HC42 三、用译码器设计组合逻辑电路 1. 基本原理 3位二进制译码器给出3变量的全部最小项; 。。。 n位二进制译码器给出n变量的全部最小项; 任意函数 将n位二进制译码输出的最小项组合起来,可获得任何形式的输入变量不大于n的组合函数 2. 举例 例:利用74HC138设计一个多输出的组合逻辑电路,输出逻辑函数式为: 四、显示译码器 1. 七段字符显示器 如: 真值表 卡诺图 BCD-七段显示译码器7448的逻辑图 7448的附加控制信号:(1) 灯测试输入 7448的附加控制信号:(2) 灭零输入 7448的附加控制信号:(3) 灭灯输入/灭零输出 输入信号,称灭灯输入控制端: 无论输入状态是什么,数码管熄灭 输出信号,称灭零输出端: 只有当输入 ,且灭零输入信号 时, 才给出低电平 因此 表示译码器将本来应该显示的零熄灭了 例:利用 和 的配合,实现多位显示系统的灭零控制 整数部分:最高位是0,而且灭掉以后,输出 作为次高位的 输入信号 小数部分:最低位是0,而且灭掉以后,输出 作为次低位的 输入信号 高教出版社 《数字电子技术基础》第五版 一、二进制译码器 例:3线—8线译码器 0 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 1 0 0 1 1 0 0 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 A0 A1 A2 输 出 输 入 用电路进行实现 用二极管与门阵列组成的3线-8线译码器 低电平输出 附加 控制端 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 1 1 1 1 1 0 1 1 1 0 1 0 1 1 1 1 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 1 0 0 1 1 0 1 1 1 1 1 0 1 0 0 1 0 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 X X X 1 X 1 1 1 1 1 1 1 X X X X 0 A0 A1 A2 S1 输 出 输 入 D3=1 D3=0 液晶显示器(LCD):液晶是一种既具有液体的流动性又具有晶体光学特性的有机化合物。外加电场能控制它的透明度和显示的颜色,由此制成LCD。 液晶显示器两个电极上加50HZ~500HZ 的交变电压。 玻璃盖板 透明电极(正面电极) 反射电极(公共电极) 液晶 加电场 未加电场 符号 暗灰色 优点:功耗极低;缺点:亮度很低,响应速度慢。 透明色 2. BCD七段字符显示译码器 (代码转换器)7448 1 1 1 1 0 0 0 0 1 1 1 14 0 0 0 0 0 0 0 1 1 1 1 15 1 1 0 1 0 0 1 1 0 1 1 13 1 1 0 0 0 1 0 0 0 1 1 12 1 0 0 1 1 0 0 1 1 0 1 11 1 0 1 1 0 0 0 0 1 0 1 10 1 1 0 0 1 1 1 1 0 0 1 9 1 1 1 1 1 1 1 0 0 0 1 8 0 0 0

文档评论(0)

junjun37473 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档