系统设计目标-华中科技大学电工电子试验示范中心.DOC

系统设计目标-华中科技大学电工电子试验示范中心.DOC

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
系统设计目标-华中科技大学电工电子试验示范中心

华中科技大学电子与信息工程系 2012年XILINX杯 项目名称: 信号发生器与频率计 团队成员 电信0903班 张晓溪 电信0904班 朱瑞 电信0903班 钟诚 指导教师: 汪小燕 2012 年 7 月 3 日 摘要:以FPGA为控制核心,用直接数字频率合成技术(DDS),经DA转换输出模拟信号,实现频率和输出电压峰-峰值都可调,并且针对输入信号进行分析处理,判读并显示相应信号的特征参数。FPGA设计DDS电路十分灵活,只要改变SRAM中的数据就可产生任意波形。直接测频用等精度测量法。同时,本项目还要实现通过VGA输出接口和数码管实现波形发生器与频率计用户界面功能等。 关键词: FPGA DDS 等精度测频 VGA显示 Abstract:With FPGA as control core, with direct digital frequency synthesis technology (DDS), the AD transform output analog signal realize frequency and output voltage peak-peak have adjustable, and according to the input signal to analysis, and interpretation of the corresponding signal display characteristics parameters. The FPGA design DDS circuit is very flexible, as long as the change in the SRAM can produce any data waveform, cut FPGA chip also support the online upgrade. Direct frequency measurement accuracy of measurement with etc. At the same time, the project will realize through the VGA output interface implementation waveform generator frequency meter and user interface and a power lost memory funtion,etc. Key Words: FPGA DDS Equal Precision frequency measurement VGA show 目录 摘要及关键词………………………………………………………2 系统设计功能………………………………………………………4 团队分工…………………………………………………………....4 方案论证与选择………………………………………………....5 总体理论分析及计算………………………………………………6 仿真结果…………………………………………………………...15 测试方案及测试结果………………………………………….…..16 收获与体会…………………………………………………………17 结语…………………………………………………………............19 致谢…………………………………………………………............19 参考文献………………………………………………..................19 系统设计功能 1.基本要求 ① 具有产生正弦波、方波、三角波三种周期性波形的功能。 ② 输出波形的频率范围为100Hz-20kHz(非正弦波频率按10次谐波计算)。重复频率可调,频率步进间隔≤100Hz。 ③ 输出波形幅度范围0-3V(峰峰值),可按步进0.1V(峰峰值)调整。 ④ 由外界按键输入选择产生波形的种类、频率与幅度。

文档评论(0)

xiaozu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档