24小时数字时钟的VHDL程序2012.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
24小时数字时钟的VHDL程序2012

24小时数字时钟的VHDL程序 2012-4-20 23:24阅读(37) 先编辑一个74LS161十进制计数器: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigned.all; Entity court161 is??????????????????????????????????? ?port( clk,CTT,CTP,LD,CR:in std_logic; ?????????????? D3,D2,D1,D0: in? std_logic;??????????????? ?????????????? Q:out std_logic_VECTOR(3 DOWNTO 0);? ?????????????? Co: out std_logic); end court161;???????????????????????????????????????? architecture court of court161 is??????????????????????? ?signal a,b,c:? std_logic? ; ?signal D,CQI:std_logic_vector(3 downto 0);??????????????????????? ?begin ?? D=D3D2D1D0; ?? a=CTT and CTP; ?? b=(not (CQI(3) and CQI(0)))and LD;?????????????????????????????????????? ?? process(clk,CTT,CTP,LD,CR,D3,D2,D1,D0)?????????????????????????????????????? ????? begin?????????????????????????????????????? ????? if a=1 then ???????? if? clkevent and clk=1 then ???????????? if b=0 then CQI=D;???????????? ????????????? else?? CQI=CQI+1;??????????????? ????????????? end if;?????????????????????????????? ???????? end if; ???????? if CQI=9 then c=1;??????????????????????? ????????? else c=0;????????????????????????????? ????????? end if; ?????? end if; ???? if clkevent and clk=1 then Co=c; ???? end if;????????????????????????????????????? ???? if CR=0 then CQI=0000; ???? end if; ?????? Q=CQI ;???????????????????????????????? ????? end process;?????????????????????????????????????????????????????????? end ;??? 再编辑一个7段数码管(共阴极)显示驱动程序: ?Library ieee; Use ieee.std_logic_1164.all; Entity QDLED7 is ? PORT(DATA:IN STD_LOGIC_VECTOR(3 DOWNTO 0); ?????? a,b,c,d,e,f,g:out std_logic); end QDLED7; Architecture LED of QDLED7 is ? signal y: STD_LOGIC_VECTOR(6 DOWNTO 0); ? begin ?? process(DATA) ???? begin ????? case DATA is ????? when 0000=y=1111110 ; ????? when 0001=y=0110000 ; ????? when 0010=y=1101101 ; ????? when 0011=y=1111001 ; ????? when 0100=y=0110011 ; ????? when 0101=y=1011011 ; ????? when 0110=y=1011111 ; ????? when 0111=y=1110000 ; ????? when 1000=y=111

文档评论(0)

2017ll + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档