- 1、本文档共31页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
8.14多进制数字相位调制(MPSK)系统课件
8.14多进制数字相位调制(MPSK)系统;多进制数字相位调制(MPSK);;下面以四相相位调制为例进行讨论。四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。
所以,对于输入的二进制序列,首先必须分组,每两位码元一组。
然后根据组合情况,用载波的四种相位表征它们。
这种由两个码元构成一种状态的符号码元称为双比特码元。
同理,k位二进制码构成一种状态符号的码元则称为k比特码元。;4PSK信号 ;; 因为 4 PSK信号是两个正交的2 PSK信号的合成,
所以可仿照 2 PSK信号的相平解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4 PSK信号的解调。
此法是一种正交相平解调法,又称极性比较法,原理图在下页。;;;LPF输出分别是
根据π/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表在下页。
当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。
解调出的A和B再经并/串变换,就可还原出原调制信号。
若解调π/2移相系统的PSK信号,需改变移相网络及判决准则。 ;π/4 系统判决器判决准则;MPSK调制电路VHDL程序及仿真 ;MPSK调制方框图 ;MPSK调制电路符号 ;MPSK调制程序及注释 ;library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity MPSK is
port(clk :in std_logic; --系统时钟
start :in std_logic; --开始调制信号
x :in std_logic; --基带信号
y :out std_logic); --调制信号
end MPSK;
architecture behav of MPSK is
signal q:integer range 0 to 7; --计数器
signal xx:std_logic_vector(1 downto 0);--中间寄存器
signal yy:std_logic_vector(1 downto 0);--2位并行码寄存器
signal f:std_logic_vector(3 downto 0); --载波f
begin;;MPSK调制程序仿真及注释 ;(MPSK调制VHDL程序仿真局部放大图1);(MPSK调制VHDL程序仿真局部放大图2 );MPSK解调电路VHDL程序及仿真 ;MPSK解调方框图 ;MPSK解调电路符号 ;MPSK解调VHDL程序及注释;library ieee;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity MPSK2 is
port(clk :in std_logic; --系统时钟
start :in std_logic; --同步信号
x :in std_logic; --调制信号
y :out std_logic); --基带信号
end MPSK2;
architecture behav of MPSK2 is
signal q:integer range 0 to 7; --计数器
signal xx:std_logic_vector(2 downto 0); --加法器
signal yyy:std_logic_vector(1 downto 0);--2位并行基代信号寄存器
signal yy:std_logic_vector(2 downto 0); --寄存xx数据
begin;;;; MPSK解调程序仿真图及注释 ;;
文档评论(0)