可编程逻辑器件控制VHDL 结课作业 结课论文.doc

可编程逻辑器件控制VHDL 结课作业 结课论文.doc

  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
可编程逻辑器件控制VHDL 结课作业 结课论文

可编程逻辑电路原理与设计作业 姓名:蔺子杰 学号 专业:电子信息工程 完成日期:2012年12月 一.实验报告 1.实验题目 3×8译码器的VHDL设计 2.实验说明 译码器:把输入的数码解出其对应的数码。 NxM译码器:N条输入线及M条输出线 设计原理:先判断使能端口EN状态,当其满足高电平时,判断三个输入端口A2,A1,A0的状态来决定输出。若使能端口为低电平则固定输出不受逻辑输出A2,A1,A0的影响。使能有效时按照三个输入状态决定八个输出的状态。 实验步骤 1)创建新工程:打开QuartusII软件,在主界面中执行FILE—New project Wizard在向导的第一页设置工程文件夹,工程名称及顶层实体名称。点击Next按钮,进入添加设计文件对话框。点击Next进入选择目标芯片对话框,ACEX1K系列EP1K30QC208-3。点击Next进入EDA工具设置页面。在新建工程向导最后,QuartusII给出新建工程摘要信息,点击Finish完成向导。 2)程序输入: 程序代码: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity demo is Port ( A : in STD_LOGIC_VECTOR (2 downto 0); EN: in STD_LOGIC; Y : out STD_LOGIC_VECTOR (7 downto 0)); end demo; architecture DEC of demo is signal indata:STD_LOGIC_VECTOR (2 downto 0); begin process(A,EN) begin indata(0)=A(0); indata(1)=A(1); indata(2)=A(2); if(EN=1)then case indata is when 000 =Y when 001 =Y when 010 =Y when 011 =Y when 100 =Y when 101 =Y when 110 =Y when 111 =Y when others=Y end case; end if; end process; end DEC; 编译:启动编译:执行菜单processing-start compilation。 在编译结束后,会出现以下编译报告: 仿真:执行File-NEW,选中Other Files中vector waveform file,点击OK新建空白文件,名位waveform.vwf, 执行file-save as保存。默认仿真时间1us. 在波形编辑器左边Name列空白处双击,打开添加仿真信号对话框。点击Node finder打开对话框,从filter列表中pins:all,然后点击list,添加节点。通过波形编辑器左侧的信号设置工具栏,编辑输入波形。当需要设定某段波形时,将其用鼠标拖黑,然后用工具栏中的按钮赋值。信号波形编辑完成后存盘。执行processing-start simulation.从波形图判断输入、输出状态是否符合设计要求。输出状态如下图所示,符合要求。在仿真后,会输出符合状态的波形: 对器件进行处理:执行assignments-assignment editor,打开管脚分配图, 选择左边上角pin进行管脚分配。管脚分配图,如图所示: 在这部分实验中,除了管脚分配之外,还包括对器件进行编程:将ByteBlaster电缆一端与PC机并口延长线相连,另一端与试验箱JTAG下载口相连,并打开试验箱电源。执行Tools-programmer,打开编程器窗口。点击Hardware set

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档