FPGA与51串口通信.docVIP

  1. 1、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。。
  2. 2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  3. 3、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  4. 4、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  5. 5、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  6. 6、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  7. 7、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
FPGA与51串口通信.doc

宜宾学院 (物理与电子工程学院论文设计) FPGA与51串口通信设计 专 业: 电子信息科学与技术 学生姓名: 学生学号: 院 系: 物理与电子工程学院 班级: 指导教师: 2014年6月18日 目录 摘要 1 第一章 引言 2 第二章 设计要求 3 第三章 设计方案 4 第四章 仿真结果 5 第五章 总结 6 第六章 参考文献 7 附录1 8 摘要 本设计介绍了AT89C51单片机与FPGA之间进行简单的串口通信中FPGA部分。FPGA从AT89C51单片机接收数据之后,再发送出去。 关键词: AT89C51单片机、FPGA、串口通信 第一章 引言 单片机均内含异步串行通信口,如AT89C51单片机内为UART(即Universal Asynchronous Receiver Transmitter 通用异步收发器),可实现全双工串行通信,其串行通信非常方便。FPGA发展十分迅速,集成度不断提高,可以完成很多复杂的功能,在其完成各种功能的同时,完全可以将串行通信接口构建于其中。这样,FPGA与单片机的串行通信就变得极其方便。 设计要求 本设计只设计FPGA接收与发送部分。当接收到接收信号时,FPGA从单片机P0与P2口接收数据,有地址锁存信号对其锁存。当地址为7F00H时,锁存地址,并等待WR口下降沿到来。当WR下降沿到来时,读P0口数据进行锁存,开始准备发送。当接收发发送信号时,来一个时钟上升沿就对数据输出一位,直到8位数据全部输出,则FPGA又开始接收数据。 设计方案 本设计采用AT89C51单片机与FPGA进行串口通信,系统总程序框架如图3-1: 图3-1 总程序框架 实验程序代码见附录1 仿真结果 仿真结果结果如图4-1、图4-2所示: 图4-1 串口通信仿真结果 图4-2 串口通信仿真结果 总结 该设计结果与预期结果一致,可以实现FPGA连续从单片机中接收与发送数据。在此次设计中也遇到一些问题,如:如何实现FPGA连续接收与发送等。同时也更好的掌握了VHDL语言编程。还在此过程中学会了QuartusⅡ软件。 参考文献 单片机原理及接口技术/张毅刚,彭喜元编著.-北京:人民邮电出版社,2008.11(2013.12重印) EDA技术与应用/万隆、巴奉丽 附录1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fpga_51_ztj is port(P0,P2: IN STD_LOGIC_VECTOR(7 DOWNTO 0); ALE,WR,S_CLK:IN STD_LOGIC; S_DATA: OUT STD_LOGIC; LD: OUT STD_LOGIC); end fpga_51_ztj; ---------------------------------------------------- architecture fpga_51 of fpga_51_ztj is signal addr_l: std_logic_vector(7 downto 0); --地址低八位锁存 signal addr_h: std_logic_vector(7 downto 0); --地址高八位锁存 signal DATA: std_logic_vector(7 downto 0); --数据缓冲 SIGNAL r_s: std_logic:=1; --fpga发送/接收判断信号,为1则处于接收中,否则处于发送中 SIGNAL addr_p: std_logic:=0; --地址是否为7f00h判断 signal LD_1: std_logic:=0; --数据发送是否完信号 begin ---------------------------------------------------地址锁存进程 PROCESS(ALE) BEGIN if(ALEEVENT AND ALE=1)then

文档评论(0)

zhoubingchina + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档