Verilog_HDL十进制计数器实验Quartus90非常详细的步骤.pdf

Verilog_HDL十进制计数器实验Quartus90非常详细的步骤.pdf

  1. 1、本文档共23页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验二 十进制计数器实验 该实验将使用 Verilog 硬件描述语言在 DE2-70 开发平台上设计一个基本时序逻辑电路 1 Quartus —— 位十进制计数器。通过这个实验,读者可以了解使用 工具设计硬件的基本流 程以及使用 QuartusII 内置的工具进行仿真的基本方法和使用 SignalTapII 实际观察电路运 行输出情况。SignalTapII 是 Quartus 工具的一个组件,是一个片上的逻辑分析仪,可以通 过 JTAG 电缆将电路运行的实际输出传回 Quartus 进行观察,从而省去了外界逻辑分析仪 时的很多麻烦。 实验步骤 3.1 建立工程并完成硬件描述设计 1. 打开 QuartusII 工作环境,如图 3-1 所示。 图 3-1 QuartusII 工作环境界面 2. File-NewProjectWizard 3-2 点击菜单项 帮助新建工程。参看图 。 图 3-2 选择 NewProjectWizard 打开 Wizard之后,界面如图 3-3 所示。点击 Next,如图 3-3。 第 23 页共 208 页 实验二 十进制计数器实验 图 3-3NewProjectWizard 界面 3. 输入工程工作路径、工程文件名以及顶层实体名。 这次实验会帮助读者理解顶层实体名和工程名的关系,记住目前指定的工程名与顶层 实体名都是 Counter10,输入结束后,如图 3-4 所示。点击 Next。 图 3-4输入设计工程信息 4. 3-5 .v 添加设计文件。界面如图 所示。如果用户之前已经有设计文件(比如 文件)。 第 24 页共 208 页 实验二 十进制计数器实验 那么再次添加相应文件,如果没有完成的设计文件,点击 Next 之后添加并且编辑设计文件。 图 3-5添加设计文件 5. 选择设计所用器件。由于本次实验使用 Altera 公司提供的 DE2-70 开发板,用户 必须选择与 DE2-70 开发板相对应的 FPGA 器件型号。 在 Family 菜单中选择 CycloneII,Package 选 FBGA,PinCount 选 896,Speedgrade 6 Availabledevices EP2C70F896C6 3-6 选 ,确认 中选中 ,如图 。 图 3-6选择相应器件 6. 设置 EDA 工具。设计中可能会用到的 EDA 工具有综合工具、仿真工具以及时 第 25 页共 208 页 实验二 十进制计数器实验 分析工具。本次实验中不使用这些工具,因此点击 Next 直接跳过设置。如图 3-7。 3-7 EDA 图 设置 工具 7. 查看新建工程总结。在基本设计完成后,QuartusII 会自动生成一个总结让用户核 对之前的设计,如图 3-8 所示,确认后点击 Finish 完成新建。 图 3-8新建工程总结 在完成新建后,

文档评论(0)

dajuhyy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档