哈工大电子技术实验自主设计实验:0—959秒计时器的设计.doc

哈工大电子技术实验自主设计实验:0—959秒计时器的设计.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
哈工大电子技术实验自主设计实验:0—959秒计时器的设计

姓名 班级 学号 实验日期 节次 教师签字 成绩 实验名称 0—9:59秒计时器的设计 1.实验目的 进一步熟悉仪器仪表的使用;设计一个0—9:59秒计时器 2.总体设计方案或技术路线 本次设计的计时器有三位显示数字,故而需要将低位进位能输入到高位。整体电路由3个74LS161构成的按自然态序变化的十进制计数器及六进制计数器组成,外接LED数码显示器以显示数字。电路所需脉冲可由函数信号发生器提供,在主线上加入一个开关以实现计时器的暂停与继续。 3.实验电路图 4. 仪器设备名称、型号 直流稳压电源、数字万用表、试验箱、电子版、芯片:74LS00、74LS161 DSO-X2002A示波器/函数信号发生器、导线 5.理论分析或仿真分析结果 1)对第一位计数器仿真实验结果: 态序 LED显示 0 0 0 0 0 0 1 0 0 0 1 1 2 0 0 1 0 2 3 0 0 1 1 3 4 0 1 0 0 4 5 0 1 0 1 5 6 0 1 1 0 6 7 0 1 1 1 7 8 1 0 0 0 8 9 1 0 0 1 9 对第二位计数器仿真结果: 态序 LED显示 0 0 0 0 0 0 1 0 0 0 1 1 2 0 0 1 0 2 3 0 0 1 1 3 4 0 1 0 0 4 5 0 1 0 1 5 第三位计数器仿真结果与第一位相同。 2)对进位处(如下图)进行测试: 第一处进位处(电路图右端如上图所示部分)结果如下 态序 1 2 3 4 5 0 0 1 1 0 0 1 0 1 1 0 0 2 0 1 1 0 1 3 0 1 1 0 1 4 0 1 1 0 0 5 0 1 1 0 0 6 0 1 1 0 1 7 0 1 1 0 1 8 0 1 1 1 0 9 0 1 1 1 0 10 1 0 0 1 1 由上表可知当计到10时,1处显示高电平,即产生进位脉冲,实现进位。但由于是反馈预置法十进制计数器,态序“10”只出现一瞬间,在LED显示上是无效的,但可以产生瞬间信号,实现进位。(注:态序“10”状态未用仿真软件测得,系理论推得) 对第二处进位处(电路图左端如上图所示部分)结果如下 态序 1 2 3 4 5 0 0 1 1 0 0 1 0 1 1 0 0 2 0 1 1 1 0 3 0 1 1 1 0 4 0 1 1 0 1 5 0 1 1 0 1 6 1 0 0 1 1 由上表可知当计到6时,1处显示高电平,即产生进位脉冲,实现进位。但由于是反馈预置法六进制计数器,态序“6”只出现一瞬间,在LED显示上是无效的,但可以产生瞬间信号,实现进位。(注:态序“6”状态未用仿真软件测得,系理论推得) 综上,可以实现所设计要求。 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) 实验步骤: 1)分析电路图,选取所需芯片,准备好所用仪器,准备实验 2)按电路图连接电路 3)检查是否有错连、漏连的线,检查无误后开启电源,进行实验 4)调整实验参数,记录实验数据 5)整理实验器材,结束实验 实验数据: 基本功能测试 (第一/二/三位计数器) 态序 LED显示 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 2 0 0 0 0 0 0 1 1 1 0 0 0 2 2 2 3 0 0 0 0 0 0 1 1 1 1 1 1 3 3 3 4 0 0 0 1 1 1 0 0 0 0 0 0 4 4 4 5 0 0 0 1 1 1 0 0 0 1 1 1 5 5 5 6 0 - 0 1 - 1 1 - 1 0 - 0 6 - 6 7 0 - 0 1 - 1 1 - 1 1 - 1 7 - 7 8 1 - 1 0 - 0 0 - 0 0 - 0 8 - 8 9 1 - 1 0 - 0 0 - 0 1 - 1 9 - 9 进位功能测试 态序 1 2 3 4 5 0 1 0 0 1 1 1 1 1 0 0 0 2 0 0 1 1 1 1 1 1 1 0 3 0 0 1 1 1 1 1 1 1 0 4 0 0 1 1 1 1 1 0 0 1 5 0 0 1 1 1 1 1 0 0 1 6 0 - 1 - 1 - 1 - 1 - 7 0 - 1 - 1 - 1 - 1 - 8 0 - 1 - 1 - 1 - 0 - 9 0 - 1 - 1 - 1 - 0 - 10 - - - - - - - - - - 3)

文档评论(0)

zhuwenmeijiale + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:7065136142000003

1亿VIP精品文档

相关文档