单片机、CPLD、FGPA在广播系统的运用.docVIP

单片机、CPLD、FGPA在广播系统的运用.doc

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
单片机、CPLD、FGPA在广播系统的运用

浅谈单片机、FPGA、CPLD的特点及在广播系统中的运用 广电总局501台 王荣飞 摘要 本文以单片机、FPGA/CPLD在控制领域的特点及优势为基础,介绍了单片机、FPGA/CPLD的使用方法,通过TBH522短波发射机自动调谐系统的设计例子,进一步阐述利用各器件实现大型控制系统的原理。 关键字:单片机 FPGA CPLD 一、前言 随着科技的进步和深入,我们传统的广播电视事业经历着一场深刻的变革和冲击,传统模拟设备正被新兴的数字设备及多媒体设备所取代。如何在现有设备的基础上完成系统数字化、自动化和网络化改造,提高设备的利用率和使用寿命,是我们面临的课题。笔者一直从事发射机自动控制系统开发,根据用户的需求,设计了很多单片机配合CPLD/FPGA实现相应功能的控制系统,现将笔者的一些使用心得与大家分享,抛砖引玉,和大家在控制领域共同探讨。 二、单片机、FPGA、CPLD在控制领域的特点及优势 1、单片机特点 单片机亦称单片微电脑或单片微型计算机。它是把中央处理器(CPU)、随机存取存储器(RAM)、只读存储器(ROM)、输入/输出端口(I/0)等主要计算机功能部件都集成在一块集成电路芯片上的微型计算机。自问世以来从工业测控对象、环境、接口特点出发,向着增强控制功能、提高工业环境下的可靠性、灵活方便的构成应用计算机系统的界面接口的方向发展。 2、FPGA/CPLD特点 (1)、都是可编程ASIC器件,具有体系结构和逻辑单元灵活、集成度高。 (2)、并有丰富的触发器和I/O引脚,可实现较大规模的逻辑电路,编程也很灵活,采用VHDL硬件描述语言完成。 (3)、采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容,适用范围宽。 (4)、是ASIC电路中设计周期最短、开发费用最低、风险最小的器件。 3、单片机和FPGA/CPLD的在控制领域里各自的优势 单片机属总线控制器,具有教大的数据运算能力,但逻辑运算却是单片机的弱项,特别是处于总线控制器的弊端,不能即时抓住瞬态变化的信息量;而FPGA/CPLD则是由大量门电路组成,通过VHDL硬件编程语言将程序写入FPGA/CPLD,构成用户所需要的各种逻辑电路,所以根据系统需求,将数据运算及循环控制设计到单片机内完成,而逻辑电路则设计到FPGA/CPLD内完成,双方通过单片机对FPGA/CPLD进行读写控制而实现整体的控制。 尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于FPGA和CPLD结构上的差异,具有各自的特点 (1)、FPGA的集成度比CPLD高,具有更复杂的布线结构和逻辑实现。 (2)、CPLD比FPGA使用起来更方便。CPLD的编程采用E2PROM或FASTFLASH技术,无需外部存储器芯片,使用简单,可分为在编程器上编程和在系统编程两类;FPGA大部分是基于SRAM编程,编程信息在系统断电时丢失,每次上电时,需从器件外部将编程数据重新写入SRAM中,所以FPGA的编程信息需存放在外部存储器上,使用方法复杂,但FPGA比CPLD具有更大的灵活性。 (3)、CPLD通过修改具有固定内连电路的逻辑功能来编程,及CPLD是逻辑块级编程,并且其逻辑块之间的互联是集总式的,FPGA主要通过改变内部连线的布线来编程,及FPGA是门级编程,并且CLB之间采用分布式互联;CPLD的连续式布线结构决定了CPLD的速度比FPGA快,并且具有较大的时间可预测性,同时也确定了CPLD更适合完成各种算法和组合逻辑,FPGA更适合于完成时序FPGA逻辑。 三、单片机的使用方法 单片机发展到现在,品种繁多,在此以MSP4301611F的一些应用来说明,此单片机是德州仪器(TI)公司推出的MSP430系列超底供耗16位混合信号处理器,工作电压为1.8V-3.6V,通常设计工作电压为3.3V;16位RISC结构,125ns指令周期;内置3通道DMA,并拥有6组I/O端口,可根据需要设计为中断端口;12位A/D带采样保持和双12位D/A同步转换,片内具有硬件乘法器和10进制运算器,极大的提高系统算术运算能力;带有2个串行通信,USART0和USARI1接口;拥有10KB RAM空间和48KB FLASH存储器。 1、A/D、D/A转换:因MSP4301611F拥有12位A/D带采样保持和双12位D/A同步转换,在需要设计AD转换和DA转换的场所,可无需设计A/D、D/A专用芯片,提高系统集成度,降低功耗,减小开发成本。 (1)、A/D转换:此单片机拥有8路12位A/D转换,及A0-A7,共用一个转换内核ADC12,模拟多路器分时地将多个模拟信号接通,即每次进行一个信号的采样转换,并将通过对应的控制寄存器ADC12MCTL转换结果保存在存储器ADC12MEM中;转换时拥有4种ADC12

文档评论(0)

panguoxiang + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档