1. 1、本文档共79页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
时序仿真

* * 3.读入设计文件2/3 * */78 read 方式下,一次只能读入一个文件,要采取自下而上的方式。 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 3.读入设计文件3/3 read -format verilog [list /xxx/rtl/fsk_modulator.v] read -format verilog [list /xxx/rtl/top_pad.v] * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 4.添加约束 * */78 工作温度条件 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 4.添加约束 set_operating_conditions \ -min_library scc40nll_hs_rvt_ff_v1p21_-40c_basic \ -min ff_v1p21_-40c \ -max_library scc40nll_hs_rvt_ss_v0p99_125c_basic \ -max ss_v0p99_125c \ -analysis_type bc_wc * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 4.设置负载 打开电路图,选中要设置负载的输出管脚。 set_load 5 [all_outputs] * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 4.创建时钟 * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 4.创建时钟 create_clock -name clk -period 15 \ -waveform [list 0 7.5] clk_pad set_clock_latency 1.0 [all_clocks] set_clock_uncertainty -setup 1.0 clk set_clock_uncertainty -hold 0.5 clk set_clock_transition 1 [get_clocks clk] set_dont_touch_network [list clk_pad] * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 5.综合 compile * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 综合前后电路结构比较 * */78 综合前 综合后 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 6.时序报告 report_timing -delay max report_timing -delay min * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 7.文件导出 top_pad.sv和top_pad.sdc提供给布局布线工具去做后端。 在启动软件的目录里面创建netlist目录 命令:mkdir netlist(在Terminal里面输入,不是软件命令窗口) 导出.sv文件到netlist目录: 1)change_names -hierarchy -rules verilog 2)write -format verilog -hierarchy -output ./netlist/top_pad.sv (在软件的命令栏输入,不是在Terminal里) 在启动软件的目录里面创建sdc目录命令:mkdir sdc (在Terminal里面输入,不是软件命令窗口) 导出.sdc文件到sdc目录:write_sdc ./sdc/top_pad.sdc(在软件的命令栏输入,不是在Terminal里) top_pad.sdf文件是延迟文件,做时序仿真用。 在启动软件的目录里面创建sdf目录 命令:mkdir sdf 导出.sdf文件到sdf 目录里: write_sdf -version 2.1 ./sdf/top_pad.sdf * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 * */78 三、 时序仿真 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 主要内容 时序仿真概念 时序仿真方法 * */78 一、FPGA硬件验证 二、逻辑综合 三、时序仿真 时序仿真概念 功能仿真:不包含延时信息,只关注实现功能的理想条件下的仿真。 时序仿真:带有延迟信息的仿真,主要是看时序和功能是否同时满足。 延时主要包括:cell delay和net delay 不同于静态时序分析(STA)。 含延时的动态仿真既能验证设计的功能,也能验证设计的

文档评论(0)

xiaozu + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档