- 1、本文档共12页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA多路彩灯控制器设计报告-
北 华 航 天 工 业 学 院
综合实践总结报告
综合实践名称: 电子技术实验Ⅲ
EDA彩灯控制器设计2014-2015-2学期第14~18周
专业班级: B13241
姓 名:
学 号:
指导教师姓名:
完 成 时 间:
一、综合实践目的
(1)控制器有4组输出,每组驱动1只LED(都已扩充到
(2)设计用4只LED组成彩灯图案,图案的状态变换至少有3种,并且定时自动切换;
(3)彩灯图案状态变换的速度至少有快、慢两种(扩充到8种速度)。
二、综合实践理论基础和核心内容
1.理论基础:
本次综合设计的理论基础是基于计数器和状态机来设计的。其中计数器主要用于分频部分,负责将时钟信号分成不同频率的信号;状态机主要是用于图案的各种状态的转换。
2. 核心内容:
本次EDA彩灯控制器综合设计,我主要是分成两个模块:时序控制电路模块和显示电路模块。时序控制电路是根据输入信号的设置得到相应的输出信号,并将此信号作为显示电路的时钟信号;显示电路输入时钟信号的周期,有规律的输出设定的彩灯变化类型。
时序控制模块:CLK为输入时钟信号,电路在时钟上升沿变化;OUTCLK为输出信号,CLR有效时输出为零。我们假设时序控制电路所产生的控制时钟信号的快慢两种节奏,因而输出时钟控制信号可以通过对输入时钟的计数来获得。可以先定义三种信号A,B,C,其中信号A为30位,信号B,C为一位,而且B 表示的是A的最高位A(29),当B为高电平时,将A(
显示控制电路的模块:输入信号CLK的定义与时序控制电路一样,输入信号LED[11...0]能够循环输出12路彩灯17种不同状态的花型。对状态的所对应的彩灯输出花型定义如下:
S0:000000000000 S1:000000000111
S2:000000111111 S3:000111111111
S4:111111111111 S5:000000000000
S6:000001100000 S7:000111111000
S8:011111111110 S9:111111111111
S10:001111111100 S11:000011110000
S12:000000000000 S13:111000000000
S14:111111000000 S15:111111111000
S16 : S17 :
序控制电路模块程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity AC is
port(
clk: in std_logic;
OUTCLK: out std_logic);
end AC;
architecture rtl of AC is
signal A : std_logic_vector(30 downto 0);
SIGNAL B :std_logic_vector(2 downto 0);
SIGNAL C :std_logic;
begin
process(clk)
begin
if clkevent and clk=1then
A=A+1;
end if;
end process;
B=A(30 downto 28);
WITH B SELECT
C =A(25) when 000,
A(24) when 001,
A(23) when 010,
A(22) when 011,
A(21) when 100,
A(20) when 101,
A(19) when 110,
A(18) when 111,
null when others;
OUTCLK=C;
END RTL;
显示模块电路程序如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_un
您可能关注的文档
- 2000型压裂车操作与维护保养手册.doc
- 2015工程地质实习心得体会-2017年10月.doc
- 2015工程机械运用与维护专业人才培养方案0806-2017年10月.doc
- 20150925某钢厂25MW高温高压汽轮发电机组技术协议-签字版.doc
- 2015年上半年试验室工作总结.doc
- 2014公路造价专业施工方案编制课程实训任务书和指导书.doc
- 2015年协议工选招方案.doc
- 2015年东莞市义务教育阶段新莞人子女积分制入学积分方案.doc
- 2015年高速项目建设情况汇报.doc
- 2015数学建模-实验指导书.doc
- 2024年05月山东交通职业学院招考聘用博士研究生50人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月安徽芜湖市弋江区老年学校(大学)工作人员特设岗位公开招聘2人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东东营河口区教育类事业单位招考聘用22人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东交通职业学院招考聘用100人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东威海职业学院招考聘用高层次人才2人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月安徽石台县事业单位工作人员33人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东滨州市博兴县事业单位公开招聘考察笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月安徽蚌埠固镇县湖沟镇选聘村级后备干部7人笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东省安丘市教育和体育局所属事业单位学校公开2024年招考232名工作人员笔试历年典型题及考点剖析附带答案含详解.docx
- 2024年05月山东临沂临港经济开发区工作人员(5人)笔试历年典型题及考点剖析附带答案含详解.docx
文档评论(0)