EDA技术实用教程3版课件第11章.ppt

  1. 1、本文档共74页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA技术实用教程3版课件第11章

11.3 优化设置与时序分析 11.3.9 适配优化设置示例 图11-19 针对工程选择Locate in Assignment Editor 11.3 优化设置与时序分析 11.3.9 适配优化设置示例 图11-20 选用乘积项逻辑优化 11.3 优化设置与时序分析 11.3.9 适配优化设置示例 图11-21在floorplan中可以看到使用了32个ESB 11.3 优化设置与时序分析 11.3.9 适配优化设置示例 图11-22使用了乘积项的编译报告 11.3 优化设置与时序分析 11.3.10 Slow Slew Rate设置 图11-23 Slow Slew Rate选择 11.3 优化设置与时序分析 11.3.11 LogicLock优化技术 大规模系统开发中,应用逻辑锁定技术可以优化设计,合理分配硬件资料,提高系统的工作速度和可靠性。QuartusII支持逻辑锁定技术的FPGA器件系列有APEX20K、APEXII、Excalibur、Cyclone/II和Stratix/II等。 11.4 Chip Editor应用 11.4.1 Chip Editor应用实例 图9-24 最左侧是CNT4B占用的LAB 11.4 Chip Editor应用 11.4.1 Chip Editor应用实例 9-25 放大后的LAB分布 11.4 Chip Editor应用 11.4.1 Chip Editor应用实例 图11-26 被占用的LAB 图11-27 LAB中被占用的5个LCs 11.4 Chip Editor应用 11.4.1 Chip Editor应用实例 图11-28 Resource Property Editor的门级原理图编辑窗 11.4 Chip Editor应用 11.4.1 Chip Editor应用实例 图11-29 的时序分析报告窗图 11.4 Chip Editor应用 11.4.2 Chip Editor功能说明 图9-30 打开Netlist Explorer窗 11.4 Chip Editor应用 11.4.2 Chip Editor功能说明 图11-31 打开属性和端口连接窗 11.4 Chip Editor应用 11.4.3 利用Change Manager检测底层逻辑 图11-32 打开Change Manager窗 习 题 11-1.利用资源共享的面积优化方法对下面程序进行优化(仅要求在面积上优化)。习题程序如下: 【例11-10】 LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; USE ieee.std_logic_arith.all; ENTITY addmux IS PORT (A,B,C,D : IN std_logic_vector(7 downto 0); sel : IN std_logic; Result : OUT std_logic_vector(7 downto 0)); END addmux; ARCHITECTURE rtl OF addmux IS BEGIN process(A,B,C,D,sel) begin if(sel = '0') then Result <= A + B; else Result <= C + D; end if; end process; END rtl; 习 题 11-2.试通过优化逻辑的方式对图11-33中所示的结构进行改进,给出VHDL代码和结构图。 图11-33 习题11-2图 习 题 11-3.已知4阶直接型FIR滤波器节的数学表达式如下: y(n)=x(n)h(0)+x(n-1)+x(n-2)h(2)+x(n-3)h(3) x(n)与x(n-m),m=0,1,2,3是延迟关系,m表示延迟的clk数。x(n-m)与h(m)的位宽均为8位,y(n)为10位,其中h(m)在模块例化后为常数。该模块的输入为x(n)、clk,输出为y(n),试实现该逻辑。 11-4.对习题11-3中的FIR滤波器节在速度上进行优化(在h(m)固定的情况下),试采用流水线技术。 11-5.利用FPGA的LUT结构,构建资源占用较小的

文档评论(0)

laolingdao1a + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档