网站大量收购独家精品文档,联系QQ:2885784924

EDA课程设计-电子钟.doc

  1. 1、本文档共18页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA课程设计-电子钟

EDA 电 子 钟 设 计 学院 班级 学号 姓名 一,设计要求 设计一个电子时钟,要求可以显示时、分、秒,用户可以设置时间。 扩展功能要求:跑表功能,闹钟功能,调整数码管的亮度。 二、VHDL代码 -------分频------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fenpin is port ( clk : in std_logic;--10m频 clk_10000 : out std_logic;--1000分频 clk_100 : out std_logic;--100k分频 clk_1 : out std_logic--10m分频 ); end entity; architecture one of fenpin is signal Q_1 : integer range 0 to 500; signal Q_2 : integer range 0 to 50000; signal Q_3 : integer range 0 to 5000000; signal clk10000 : std_logic; signal clk100 : std_logic; signal clk1 : std_logic; begin fen1000:process(clk) begin if clkevent and clk=1 then if Q_1=500 then Q_1 = 0; clk10000 = not clk10000; else Q_1=Q_1+1; end if; end if; end process; fen100k:process(clk) begin if clkevent and clk=1 then if Q_2=50000 then Q_2 = 0; clk100= not clk100; else Q_2=Q_2+1; end if; end if; end process; fen10m:process(clk) begin if clkevent and clk=1 then if Q_3=5000000 then Q_3 = 0; clk1=not clk1; else Q_3=Q_3+1; end if; end if; end process; clk_10000 = clk10000; clk_100 = clk100; clk_1 = clk1; end one; ------走表------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity clock is port(clk_1:in std_logic; key8:in std_logic; hs_set,hg_set,ms_set,mg_set,ss_set,sg_set:in integer range 0 to 9; hs_out,hg_out,ms_out,mg_out,ss_out,sg_out:out integer range 0 to 9); end entity; architecture bhv of clock is signal shi:integer range 0 to 100; signal fen:integer range 0 to 100; signal miao:integer range 0 to 100; begin process(clk_1,key8,hs_set,hg_set,ms_set,mg_set,ss_set,sg_set) begin if key8=1 then shi=hs_set*10+hg_set; fen=ms_set*10+mg_set; miao=ss_set*10+sg_set; elsif clk_1event and clk_1=1 then if miao=59 then miao=0; fen=fen+1; elsif fen59

文档评论(0)

ligennv1314 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档