成都信息工程学院数电复习.pptx

  1. 1、本文档共90页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
成都信息工程学院数电复习

数字电路与逻辑设计; 第二章;[题2-3] 将下列二进制数转换成八进制数和十六进制数;[题2-5] 将下列二进制数转换成八进制数和十进制数。;[题2-6] 将下列十进制数转换成8421BCD码、5421 BCD码和余三BCD码;表 四位二进制数与四位格雷码的对照关系;;;;;[题3-2]用真值表验证下列表达式;;[题3-5] 根据反演规则求出下列逻辑函数的反函数。 ;;[题3-3] 用逻辑代数的基本公式和定律将下列逻辑函数式化简为 最简与-或表达式。 ;(3);(10);;;;;;;;;重点: 数据选择器、译码器、移位寄存器、计数器和 触发器的书中源代码。 ;1. 用VHDL语言描述3-8线译码器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity decoder38 is port(inp:in std_logic_vector(2 downto 0); outp:out std_logic_vector(7 downto 0)); end entity decoder38; architecture art4 of decoder38 is begin process(inp) ;begin case inp is when 000=outp= when 001=outp= when 010=outp= when 011=outp= when 100=outp= when 101=outp= when 110=outp= when 111=outp= when others=outp= xxxxxxxx; end case; end process; end architecture art4;;2. 用VHDL语言描述7段显示译码器; when 0000 =led7 =0111111; --0 when 0001 =led7 =0000110; --1 when 0010 =led7 =1011011; --2 when 0011 =led7 =1001111; --3 when 0100 =led7 =1100110; --4 when 0101 =led7 =1101101; --5 when 0110 =led7 =1111101; --6“ when 0111 =led7 =0000111; --7 when 1000 =led7 =1111111; --8 when 1001 =led7 =1101111; --9 when others =led7 =0000000; --不显示 end case; end process; end behv;;3. 用VHDL语言描述4选1数据选择器 library ieee; use ieee.std_logic_1164.all; entity mux41 is port (inp: in std_logic_vector(3 downto 0); a,b:in std_logic; y:out std_logic); end entity mux41; architecture art of mux41 is signal sel:std_logic_vector(1 downto 0); Begin ; sel=ba; process(inp,sel) is begin if (sel=00) then y=inp(0); elsif (sel=01) then y=inp(1); elsif (sel=11) then y=inp(2); else y=inp(3); end if; end process; end architecture art; ;4. 边沿D触发器的HDL描述;Q=1

文档评论(0)

wyjy + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档