EDA秒表电路课程设计.docx

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA秒表电路课程设计

学号州大学EDA 技术课程设计报告题目:秒表电路设计学生:袁李飞学院(系):信息科学与工程专业班级:电子111 指导教师:李文杰EDA课程设计任务书一、设计题目 秒表电路设计二、设计背景首先秒表计时的分辨率为0.01秒,因此首先得到一个100HZ的时钟信号。它的一个周期就是0.01秒。然后再对该时钟信号进行计数,并且显示到数码管即可。由于计时范围最大值到1小时,所以要用到十进制计数器和六进制计数器。前一级的计数器溢出端送至下一级计数器输入端构成完整计时系统。三、设计内容及要求设计内容:设计一个计时范围为0.01秒到1小时的数字秒表。具体内容如下:(1)计时分辨率为0.01秒,所以要设计分频电路或直接获得一个100HZ的时钟信号。(2)从0.01秒到0.1秒、0.1秒到1秒以及1分到10分,要用到十进制计数器,设计一具有清零、使能端,4位计数输出端和1位计数溢出端的十进制计数器。(3)从10秒到1分,以及从10分到1小时,要用到六进制计数器。设计一具有清零、使能端,4位计数输出端和1位计数溢出端的六进制计数器。(4)根据上述模块完成顶层文件设计,构成完整的计时电路。要求:1)根据系统设计要求,采用自顶向下的方法,划分系统主要模块,画出整体设计原理框图。2)根据工作原理、用硬件描述语言对设计内容实现,列出设计程序清单,给出仿真波形图和调试中存在问题及解决方法。3)设计内容下载至目标芯片,在EDA的GW48型实验箱进行功能验证。4)谈谈该课题的课程设计中遇到的问题,获得哪些技能和体会,以及建设性意见。四、设计步骤和安排:(1)题目安排;图书馆查相关资料;(2)设计原理研究,总体设计;(3)各主要模块的VHDL设计。各模块的设计仿真分析。 (4) 完成系统顶层文件设计,系统总体功能的仿真分析。 (5) 将设计内容进行硬件配置,在GW48实验箱上进行调试。 (6) 撰写课程设计报告、答辩并提交报告。目录前言……………………………………………………………1 设计要求…………………………………………………………1实验目的…………………………………………………………1实验原理…………………………………………………………2设计框图…………………………………………………………2模块说明…………………………………………………………36.1分频器…………………………………………………………36.2十进制计数器…………………………………………………46.3六进制计数器…………………………………………………57.顶层文件……………………………………………………………77.1整体连接图…………………………………………………… 77.2仿真波形………………………………………………………78.硬件调试…………………………………………………………… 88.1硬件要求………………………………………………………88.2引脚锁定………………………………………………………89.实验总结……………………………………………………………99.1错误与解决方法…………………………………………………99.2心得体会………………………………………………………… 910.参考文献……………………………………………………………101.前言本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时,通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析,解决计算机与控制实现的技术,达到课程设计的目标。利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表,显示最长时间是59分59秒99,设计了复位和启停开关。复位开关reset可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备,启停开关为en,高电平时秒表工作,低电平时秒表停止计时,在触发高电平时接着上次的计时继续计时。数字秒表在日常生活中有广泛的用途,秒表的逻辑结构较简单,它主要由显示译码器、十进制计数器、六进制计数器和?分频器组成。四个10进制计数器:用来分别对百分之一秒、十分之一秒、秒和分进行计数;两个6进制计数器:用来分别对十秒和十分进行计数;显示译码器:完成对显示的控制。根据电路持点,用层次设计概念将此设计任务分成若干模块,规定每一模块的功能和各模块之间的接口,然后再将各模块合起来联试。?通过MAX+plusⅡ软件,对上述模块设计,仿真无误后,设计顶层文件,仿真无误后,下载到主芯片EPF10K10LC84-4中,按适配划分后的管脚定位,同相关功能块硬件电路接口连线,

文档评论(0)

153****9595 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档