硬件课程设计报告--压力控制系统.docx

  1. 1、本文档共24页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
计算机科学与技术学院硬件课程设计报告姓 名: 学 号: 专 业: 班 级: 设计题目: 压力控制系统指导教师: 职 称: 年 月 实验内容:设计一个压力控制系统,可以测量压力,当压力超过一定的限度值时。系统会发出警报。实验设想构思:压力控制系统主要由输入模块、进制转换模块、显示模块和报警模块四个模块组成。压力首先由模拟信号发生器模拟;然后模拟信号在0809芯片中,转换为数字信号;在CPU中,数字信号转换为16进制;使用8279和数码管显示数据;比较压力与压力界限,若过高或者过低则报警。实验原理1.输入输入量通过压力传感器输入或者模拟量发生器输入(试验阶段使用模拟量发生器,便于数据的变化,压力变化可能会不显著)。随着按钮旋转,模拟量会随之变化。2.模数转化0809是通过将模拟量发生器的信号,以逐次逼近原理转换为数字信号。本试验通过使用模拟量发生器输出1MHZ信号,初始化后0809接收到信号变开始依次转换输出到显示模块。3.压力显示将0809转化所得的数字信号通过计算在器件8279在数码管上显示输出。本实验需要熟悉8279工作原理,需要进行初始化、命令字与状态字的使用等。4.报警报警模块需要对温度量与标准值进行比较,当过高或者过低时LED显示不同,同时注意每次循环时LED的状态,不可以每次都进行初始化,否则可能造成LED的闪烁等异常状况。 四.设计方案 1. 温度控制系统主要由输入模块、进制转换模块、显示模块和报警模块四个模块组成。其中输入模块,为方便查看实时温度,可用模拟量发生器代替温度传感器;进制转换模块中,0809将模拟量转换为数字量,通过在CPU中运算,将0809的二进制转化为16进制,并在CPU中对数值进行比较,对于温度过高或者过低都进行报警;显示模块借用8279控制数码管,8279从系统总线读出数据。 2.压力控制器工作流程图 总流程图输入模块模拟信号发生器产生模拟信号0809AD转换器将模拟信号转换成数字信号(00H—0FFH)将数字信号转化成16进制数yesno16进制数03H0CHnoyesLed显示模块亮红灯亮黄灯亮绿灯报警模块Led显示模块16进制数如下图所示:实图连接五、硬件框架以及各芯片功能图1、0809芯片ADC0809是美国国家半导体公司生产的CMOS工艺8通道,8位逐次逼近式A/D模数转换器。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的一个进行A/D转换。是目前国内应用最广泛的8位通用A/D芯片 。上图为0809的芯片图在本实验中使用0809作为数据采集和转换工具。1.主要特性 1)8路输入通道,8位A/D转换器,即分辨率为8位。  2)具有转换起停控制端。  3)转换时间为100μs(时钟为640kHz时),130μs(时钟为500kHz时)   4)单个+5V电源供电  5)模拟输入电压范围0~+5V,不需零点和满刻度校准。  6)工作温度范围为-40~+85摄氏度 7)低功耗,约15mW。 2.内部结构ADC0809是CMOS单片型逐次逼近式A/D转换器,内部结构如图13.22所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器、逐次逼近寄存器、逻辑控制和定时电路组成。 3.外部特性(引脚功能) ADC0809芯片有28条引脚,采用双列直插式封装,如图13.23所示。下面说明各引脚功能。  IN0~IN7:8路模拟量输入端。  2-1~2-8:8位数字量输出端。  ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路  ALE:地址锁存允许信号,输入,高电平有效。  START: A/D转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿使0809复位,下降沿启动A/D转换)。  EOC: A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平)。  OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。  CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。  REF(+)、REF(-):基准电压。  Vcc:电源,单一+5V。 GND:地。 ADC0809的工作过程 首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 A/D转换,之后EOC输出信号变低,指示转换正在进行。直到A/D转换完成,EOC变为高电平,指示A/D转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平 时,输出三态门打开,转换结果的数字量输出到数据

文档评论(0)

li455504605 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档