交通灯控制与显示电路综合设计.doc

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
交通灯控制与显示电路综合设计

“数字钟”综合设计实验—采用CPLD/FPGA可编程器件设计 一、实验目的 1.掌握可编程逻辑器件的层次化设计方法; 2.掌握十进制、六十进制、二十四进制计数器的设计方法; 3.掌握多位计数器相连的设计方法; 4.掌握喇叭的驱动方法。 二、设计任务与要求 1.基本功能:具有小时、分钟、秒计数显示功能,并以24小时循环计时; 2.具有清零和校时的功能,具有整点报时的功能; 3.自由发挥功能:具有到点闹时功能,并且能够预置闹铃时间; 4.要求小时、分钟、秒在数码管上的显示格式如下: 三、程序设计思路 1、整体思路 单独实现50M分频模块,60进制模块和24进制模块,在顶层中用元件例化语句调用3个模块,顶层只完成元件例化声明与调用,扫描数码管功能。 2、本设计的难点在于时钟的计数功能和数码管显示功能, (1)60进制和24进制计数器的实现 VHDL实现计数比较简单,我们只要设置好计数的最终数值,通过简单的加法或者减法就可以实现任意数值的计数状态。 (2)小时、分钟、秒计数显示的实现 数字钟的小时、分钟、秒共用8个数码管显示,并有2个数码管用来产生隔离符号“—”,为节省逻辑器件的I/O,时间显示采用动态扫描的方法。动态扫描的基本原理是对于一组数码管动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此,在同一时刻如果各位数码管的位选线都处于选通状态的话,8位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就只让这一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。 (3)动态扫描的实现: 基本思路是利用硬件语言编写一个8进制的计数器,根据计数器的值译出位选通信号来选择哪一个数码管显示;段线上显示的时间用一组减计数器实现,通过7段译码程序将计数器的时间信息按照相应的位信息送到段线上。 数码管是4位8段共阴极型,引脚6、8、9、12为位选端,对应从右至左的4位数码管的共阴极,低电平有效;引脚11、7、4、2、1、10、5、3对应数码管的a~g、DR等8段LED灯,高电平发亮。 数码管: a(pin_171) b(pin_174) c(pin_176) d(pin_181) e(pin_183) f(pin_185) g(pin_187) DR(pin_189) 共阴极 数码管片选: LED_SEL2(pin_199)LED_SEL1(pin_197) LED_SEL0(pin_195) 四、仿真与硬件测试 1.功能仿真 程序编译后要对其进行功能仿真以此来验证各模块设计的正确性,在仿真过程中,分别改变特殊状态按键,观察输出的仿真运行结果,验证电路的逻辑功能是否达到设计要求。 2.硬件测试 功能仿真正确后,进行管脚锁定,锁定管脚时必须对实验箱的硬件资源有一定的了解,锁定时将设计中的输入输出信号和FPGA的具体管脚相对应,锁定完后再进行一次编译,保证管脚配置起作用。 五、实验报告要求 课题完成后应认真撰写实验报告,其主要内容如下: 1.课题的任务及要求。 2.叙述所设计的数字钟的工作原理 3.课题分析与编程思路。对课题认真分析,正确理解,明确设计思路。 4.仿真结果分析。建立测试向量文件,然后编译该文件,进行功能仿真和时序仿真,给出仿真结果并进行分析。 5.实验设计中各功能模块的源程序。 6.总结。总结课题存在的问题,提出改进的设想;完成本课题后的 4

文档评论(0)

zhaoxiaoj + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档