PLC题不带答案.docVIP

  1. 1、本文档共3页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
PLC题不带答案

2011 -2011学年第1 学期期末 PLC试题 (考试班级:09级机电二年级化工二年级) (时间:90分钟  满分:100分) 大项标题号 一 二 三 四 五 总成绩 评卷人 得 分 单项选择(每题3分,10题,共30分) 1、、.A、LDNI M0.0; B、LDN M0.0; C、SI M0.0 1; D、S Q0.0; 3. 4.世界上第一台PLC生产于( ) A.1968年德国 B.1967年日本 C.1969年美国 D. 1970年法国 5、在右边的网络里当I0.0、I0.1全不通电的时候,C1的当前值为( ) A 、0 B、1 C、3 6.CPU224 型PLC有几个通讯端口( )。 A 、2个 B 、1个 C 、3个 D 、4个 7.上升沿指令的梯形图格式为( )。 A、 B、 C、 8、 该网络中定时时间( ) A:10MS B:10S C:100MS D:1S 9、将编程器内编写好的程序写入PLC时,PLC必须处在 模式。RUN B、STOP 10、 PLC采用( )建立电脑与其之间的通信,传送程序 A、数据线 B、导线 C、PC/PPI电缆 二、填空题(每空1分,共25分) 1、S7-200系列PLC的指令系统有三种类型。 3、说明下列指令意义。 TOF ON OLD LD CTUD ADD 4、在PLC运行的第一个扫描周期为ON的特殊存储器位是 (SM0.1/SM0.5) 5、 CTUD为 计数器(加/减/加减) 当I0.0接通4次时,C3当前值为 当I0.1接通1次时,C3当前值为 当I0.2接通1次时,C3当前值为 6、S7-200型PLC的定时器包括?????? ??? 、??? ???? 、??? ?????? 三种类型。 7、定时器的分辨率由?????? ??? 、??? ???? 、??? ??????三种 8、按国标规定,“停止”按钮必须是 色, (常开/常闭)触点表示,“启动”按钮必须是 色 。 三、判断(每题2分,共16分) 1.ON的启动输入端IN定时器保持原值。 2、S7-200PLC中一个定时器最大定时间隔是3276.7s ( ) 3、在可编程序控制器中,同一元件的触点在程序中可不受限制地使用。 ( ) 4、PLC是采用“并行”方式工作的。 5、PLC的工作方式是等待扫描的工作方式。( ? ) .CTUD计数器的当前值大于等于预置数PV时置位,停止计数。(???? ) 4.此光电开关是用什么原理制成的?( )(2分) A、光的直线传播 B、光的反射原理 5.光电开关的作用?(2分) 6、哪一个是行程开关?行程开关作用?(3分) 五、综合实训 (共15分) 1.实训室自动售货机系统可投三种硬币(1角/5角/1元),分别用S1、S2、S3表示,网络2为投1角的程序,试在网络3和网络4中写出投5角和投1元的程序(4分) 2.仿照网络6在网络5中增加一个触点?实现闪烁功能,使程序完整。(3分) 3.在网络9中,我们要让咖啡排出8秒后停下来,即关断Y0,请仿照网络7添加一个触点关断Y0(3分) 4.网络11到网络13 为什么电路?利用什么指令来实现减法的功能?用笔画出。 什么时候L7指示灯会亮?(5分) 姓名 班级 考号 装 订

您可能关注的文档

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档