MAX+plusⅡ,EDA实验六.docVIP

  1. 1、本文档共4页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
MAXplusⅡ,EDA实验六

310316070202王伟民 实验六 计数译码显示电路设计 实验目的 掌握元件例化语句的使用方法。 学会简单的计数译码显示电路的设计方法。 学习VHDL的层次化设计方法。 实验内容 用元件例化语句完成全加器的设计。 设计计数译码显示电路。 实验步骤 全加器的设计 参考图1所示全加器的原理图,用元件例化语句完成一位全加器的设计。 图1 一位全加器逻辑原理图 计数译码电路设计 参考图2,用VHDL完成底层文件即十进制计数器、BCD/七段译码电路的设计。进行编辑、编译、仿真,最后创建元件。 图2 计数译码电路原理图 用原理图输入方式或元件例化语句完成顶层文件设计。进行编辑、编译、仿真、引脚锁定并下载到目标芯片中。 1半加器程序 -- h_adder LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY h_adder IS PORT(a,b:IN STD_LOGIC; co,so:OUT STD_LOGIC); END h_adder; ARCHITECTURE behav2 OF h_adder IS BEGIN co=NOT(a NAND b); so=(a OR b) AND (a NAND b); END behav2; 2全加器代码 -- f_adder LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT(ain,bin,cin:IN STD_LOGIC; cout,sum:OUT STD_LOGIC); END f_adder; ARCHITECTURE behav OF f_adder IS COMPONENT h_adder PORT(a,b:IN STD_LOGIC; co,so:OUT STD_LOGIC); END COMPONENT h_adder; COMPONENT or2_1 PORT(x,y:IN STD_LOGIC; z:OUT STD_LOGIC); END COMPONENT or2_1; SIGNAL d,e,f:STD_LOGIC; BEGIN u1: h_adder PORT MAP(ain,bin,d,e); u2: h_adder PORT MAP(e,cin,f,sum); u3: or2_1 PORT MAP(d,f,cout); END behav; 3全加器仿真图 4十进制计数器代码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cnt10 IS PORT ( clk:IN STD_LOGIC; clr:IN STD_LOGIC; en:IN STD_LOGIC; q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END cnt10; ARCHITECTURE behav OF cnt10 IS BEGIN PROCESS (en,clk,clr) BEGIN IF en=1 THEN ELSIF clr=1 THEN q=0000; ELSIF clk EVENT AND clk=1 THEN IF q=8 THEN q=q+1; ELSE q=0000; END IF; END IF ; END PROCESS; END behav; 5十进制计数器仿真图 6BCD/七段译码 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY decoder7 IS PORT(a:IN STD_LOGIC_VECTOR(3 DOWNTO 0); led7s:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END decoder7; ARCHITECTURE behav OF decoder7 IS BEGIN PROCESS(a) BEGIN CASE a IS WHEN 0000=led7s=0111111;

文档评论(0)

baoyue + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档