数字逻辑设计与VHDL描述第2版徐惠民安德宁第七章.ppt

数字逻辑设计与VHDL描述第2版徐惠民安德宁第七章.ppt

  1. 1、本文档共131页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
北京邮电大学 huimin@bupt.edu.cn * 一般时序电路分析设计 例7.23 用VHDL语言对101序列检测器进行数据流描述。 ENTITY detector_101 IS PORT (x, clk : IN BIT; z : OUT BIT); END detector_101; ARCHITECTURE dataflow OF detector_101 IS TYPE state IS (A, B, C); TYPE state_vector IS ARRAY (NATURAL RANGE ) OF state; FUNCTION one_of (sources: state_vector) RETURN state IS BEGIN RETURN sources(sources’LEFT); END one_of; SIGNAL current : one_of state REGISTER := A; 北京邮电大学 huimin@bupt.edu.cn * 一般时序电路分析设计 BEGIN cc: BLOCK (clk =1 AND NOT clkSTABLE) BEGIN s1: BLOCK (current = A AND GUARD) BEGIN current = GUARDED B WHEN x=1 ELSE A; END BLOCK s1; s2: BLOCK (current = B AND GUARD) BEGIN current = GUARDED C WHEN x=0 ELSE B; END BLOCK s2; s3: BLOCK (current = C AND GUARD) BEGIN current = GUARDED B WHEN x=1 ELSE A; z = 1 WHEN (current = C AND x = 1) ELSE 0; END BLOCK s3; END BLOCK cc; END dataflow; 北京邮电大学 huimin@bupt.edu.cn * 一般时序电路分析设计 例7.24 对例7.22的时序机用VHDL语言进行顺序描述。 ENTITY detector_2 IS PORT ( clk, A, B: IN STD_LOGIC; Z: OUT STD_LOGIC ); END; 北京邮电大学 huimin@bupt.edu.cn * 一般时序电路分析设计 ARCHITECTURE behav OF detector_2 IS TYPE SREG_TYPE IS (INIT, A0, A1, A00, A11); SIGNAL sreg: SREG_TYPE; BEGIN PROCESS (clk) BEGIN IF clk EVENT AND clk = 1 THEN CASE sreg IS WHEN INIT = IF A=0 THEN sreg = A0; ELSIF A=1 THEN sreg = A1; END IF; WHEN A0 = IF A=0 THEN sreg = A00; ELSIF A=1 THEN sreg = A1; END IF; WHEN A00 = IF A=0 THEN sreg = A00; ELSIF A=1 AND B=0 THEN sreg = A1; ELSIF A=1 AND B=1 THEN sreg = A11; END IF; 北京邮电大学 huimin@bupt.edu.cn * 电位型触发器 WHEN A1 = IF A=0 THEN sreg = A0; ELSIF A=1 THEN sreg = A11; END IF; WHEN A11 = IF A=0 AND B=0 THEN sreg = A0; ELSIF A=0 AND B=1 THEN sreg = A00; ELSIF A=1 THEN sreg = A11;

文档评论(0)

xingyuxiaxiang + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档