[工学]第二章 智能仪器模拟量输入输出通道.ppt

[工学]第二章 智能仪器模拟量输入输出通道.ppt

  1. 1、本文档共75页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
[工学]第二章 智能仪器模拟量输入输出通道

智能仪器 模拟量的输入/输出通道 主要内容: 模拟量输入通道 高速模拟量输入通道 模拟量输出通道 数据采集系统 2.1模拟量输入通道2.1.1 转换器概述 (2) 转换精度 转换精度反映了一个实际ADC与理想ADC在量化值上的差值。包括偏移误差、满刻度误差、非线性误差、微分非线性误差。 偏移误差:输出为零时,输入不为零的值。 满刻度误差:又称增益误差是指ADC输出达到满量程时,实际模拟输入与理想模拟输入之间的差值。 非线性误差:实际转移函数与理想直线的最大偏移。 微分非线性误差:实际阶梯电压与理想阶梯电压之间的差值。 (3)转换速率 转换速率是指ADC在每秒钟内所能完成的转换次数。 (4) 满刻度范围 满刻度范围是指ADC所允许最大的输入电压范围。 2.1.1 逐次比较式A/D转换器 二、ADC0809芯片及其接口 2)延时等待方式程序清单如下: (3)中断方式程序清单如下: 三、AD574芯片及其接口 2.1.3双积分A/D转换器 三、MC14433芯片 3.MC14433与8031单片机的接口设计 2.3模拟量输出通道 2.3.1 D/A转换器概述 二、D/A转换器的主要技术指标 2.3.2 D/A转换器与微型计算机接口 一、DAC0832 DAC0832是使用非常普遍的8位D/A转换器,由于其片内有输入数据寄存器,故可以直接与单片机接口。DAC0832以电流形式输出,当需要转换为电压输出时,可外接运算放大器。属于该系列的芯片还有DAC0830、DAC0831,它们可以相互代换。DAC0832主要特性: DAC0832的内部结构 主要引脚功能 输入寄存器控制信号: D7~D0:输入数据线 ILE:输入锁存允许 CS:片选信号 WR1:写输入锁存器 主要引脚功能 用于DAC寄存器的控制信号: WR2:写DAC寄存器 XFER:允许输入锁存器的数据传送到DAC寄存器 主要引脚功能 其它引线: VREF:参考电压。 -10V~+10V,一般为+5V或+10V IOUT1、IOUT2:D/A转换差动电流输出。 用于连接运算放大器的输入 Rfb:内部反馈电阻引脚,接运放输出 AGND、DGND:模拟地和数字地 工作时序 工作模式 2、双缓冲工作方式 多路D/A转换输出,如果要求同步进行,就应该采用双缓冲器同步方式 。 3、直通工作方式 当DAC0832芯片的片选信号、写信号、及传送控制信号的引脚全部接地,允许输入锁存信号ILE引脚接+5V时,DAC0832芯片就处于直通工作方式,数字量一旦输入,就直接进入DAC寄存器,进行D/A转换。 在一个时钟频率为12MHZ的8031系统中接一片DAC0832,它的地址为7FFFH,输出电压为0~5V。画出有关电路图,并编写产生锯齿波,高电平为2V,低电平为0V的转换程序。 DAC1208 2.4数据采集系统 2.4.2 模拟多路开关及接口 CD4501 2.4.3 模拟信号的采样与保存 采样/保持器的主要性能参数 数据采集程序流程 作用: 将多路被测信号分别传送到A/D转 换器进行转换。 机电式: 电子式: 类型 用于大电流、低速切换 用于小电流、高速切换 CD4501为8 通道单刀结构形式,它允许双 向使用,即可用于多到一的切换输出, 也可用 于一到多的输出切换。 CD4501真值表 C B A 接通通道 0 0 0 0 0 0 0 0 1 0 0 0 0 1 1 1 1 × 0 0 1 1 0 0 1 1 × 0 1 0 1 0 1 0 1 × S0 S1 S2 S3 S4 S5 S6 S7 无 问题: 模拟信号进行 A/D 转换时,从启 动转换到转换结束输出数字量,需 要一定的转换时间,当输入信号频 率较高时,会造成很大的转换

文档评论(0)

qiwqpu54 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档