- 1、本文档共6页,可阅读全部内容。
- 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
- 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载。
- 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
VHDL并串转换
并串转换
班级:物电10级5班 学号:102001142 姓名:曹 驰
一、电路功能:
本电路能实现FPGA与单片机的部分接口功能,使单片机与FPGA能进行简单通信的功能,即单片机通过ale、wr、P0、P2管脚与FPGA相连接,通过这几个控制引脚,指导FPGA进行并串转换。同时,在FPGA上自带有clr与clk,实现对系统的清零复位,保证串行输出有条进行。
二、电路设计思路:
(1)单片机是一个拥有多扩展模块的芯片,所以,首先我们要设计地址总线。
本题中设地址为FAH,当ale来一个上升沿时,我们要锁存当前P0口的地址,然后再将此地址与FAH比较。若比较结果相等,则写有效(把wr赋给FPGA的内部信号wr_en)否则写无效(把1赋给wr_en),其中wr_en为0有效。
(2)设计数据总线
当选择该芯片时,若单片机发一个写有效来,则将P2和P0口的数据读入FPGA内部数据锁存器.当数据读入后,同时,内部应该产生一个读入完成型号a,以便通知FPGA进行并串转换。
(3)并串转换
当a有效时,开始进行并串转换,并输出串口按时钟clk将数据一个一个输出,当并串转换结束后,应当产生一个结束控制标志(本电路中将ld反馈回电路做结束标志),使a无效,停止停止并串转换。
三、电路原理框图如下:
四、具体程序与原理图:
(1)地址锁存器
library ieee;
use ieee.std_logic_1164.all;
entity latch_add is
port ( ale,clr:in std_logic;
P0:in std_logic_vector(7 downto 0);
add:out std_logic_vector(7 downto 0));
end latch_add;
architecture art of latch_add is
begin
process(clr,ale)
begin
if(clr=1) then
add
elsif(aleevent and ale=1) then --锁存地址
add=P0;
end if;
end process;
end art;
(2)比较器
library ieee;
use ieee.std_logic_1164.all;
entity en is
port( wr,clr:in std_logic;
add:in std_logic_vector(7 downto 0);
wr_en:out std_logic);
end en;
architecture art of en is
begin
process(add,wr,clr)
begin
if (clr=1) then
wr_en=1;
elsif(add then
wr_en=wr; --写允许
else
wr_en=1; --写禁止
end if;
end process;
end art;
(3)数据锁存
library ieee;
use ieee.std_logic_1164.all;
entity latch_data is
port( wr_en,b,clr:in std_logic;
P1,P2:in std_logic_vector(7 downto 0);
datain:out std_logic_vector(15 downto 0);
a:out std_logic); --a是允许并串转换
end latch_data;
architecture art of latch_data is
begin
process(wr_en,clr)
begin
if(clr=1) then
a=0;
elsif(wr_enevent and wr_en=0) then --数据读入FPGA
datain=P2P1; a=1; --a为1,开始并串转换
end if;
if(b=1) then --将ld接入b端口,为并串结束标志
a=0; --a为0,结束并串转换
end if;
end process;
end art;
(4)并串转换
li
您可能关注的文档
- 五 上unit7.doc
- ”星耀华夏“第五届全国青少年艺术人才暨特长生才艺展示大赛.docx
- 《传感器与检测技术》试题A.doc
- 《中级财务会计2》学习笔记 李瑞欣.doc
- 《市场营销》试题111.doc
- 《安徽师范大学计算机软件课程设计》.doc
- 《中级财务会计1》学习笔记 李瑞欣.doc
- 《建筑结构》课程设计2(节点制作).doc
- ”彩虹人生----我的中国梦“泉州艺术学校第三届校园读书节知识竞赛活动知识竞赛中场休息题目.doc
- 《概率论与数理统计》试题111.doc
- 吉安县公开招聘专职文明实践员笔试备考试题及答案解析.docx
- 2025重庆枫叶国际学校招聘教师笔试备考试题及答案解析.docx
- 游机队电玩自制联网教程-tplink.pdf
- 2025重庆新华出版集团招聘1人笔试模拟试题及答案解析.docx
- 2025宜宾高新丽雅城市产业发展有限公司公开招聘笔试模拟试题及答案解析.docx
- 2025云南保山市龙陵县勐糯镇人民政府招聘合同制专职消防员1人笔试模拟试题及答案解析.docx
- 11.1生活中常见的盐 九年级化学人教版下册.pptx
- 6.1法律保护下的婚姻 高二政治《法律与生活》课件(统编版选择性必修2)(新版).pptx
- 文昌市中小学教师校园招聘29人笔试模拟试题及答案解析.docx
- 10.1.5 常见的酸和碱(第5课时)课件-九年级化学人教版下册.pptx
文档评论(0)