基于VHDL语言的自动售货机设计资料.doc

  1. 1、本文档共19页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
基于VHDL语言的自动售货机设计资料

VHDL数字系统设计与测试作业 自动售货机的电路设计 学院:通信工程学院 学号: 姓名: 一、方案分析(自动售货机) 该设计方案中的自动售货机主要包括按键、时钟、投币、RAM、输出、译码显示、控制器等模块。 设计思想 本文所设计的自动售货机主要销售四种饮料价格分别为1.5元、2.5元、3.5元和4.5元,售货机贴注四种饮料的图片和价格。售货机主要包括set和finish按键、clk时钟、coin5和coin10投币口、y0投币数显示和act饮料出口。其中clk键提供系统时钟;set键用于对商品的价格和现有数量进行预设置;coin5和coin10用于投入五角和一元钱币;y0用于显示所投钱币的数量以五角为单位;finish键用于投币结束与商品价格进行比较,若满足或超过,最终通过act输出端满足顾客要求并找零,若不满足则退出所投钱币。 系统工作过程 1、首先由货物员把自动售货机里的四种商品的数量和单价通过set键置入到存储器里; 2、之后顾客投币,投币结束后按下finish键,若投入钱币大于或等于饮料的价格则输出最接近投币总价钱的一款饮料,若投入钱币不足仅为五角或一元则退出所投的钱币; 3、过程中投币计数模块对所投入的五角和一元硬币进行求和并通过数码管实时显示; 四、状态转移图(难点) 00 00 00 00 01 01 10 10 00 00 10 01 10 01 00 00 00 00 10 01 10 01 00 10 10 10 01 01 01 自动售货机的状态转移图如上图所示 1)状态定义:idle表示初态,state1表示投入五角钱币,state2 表示投入一元钱币,state3表示投入一元五角钱币,state4表示投入两元钱币,state5表示投入两元五角钱币,state6表示投入三元钱币,state7表示投入三元五角钱币,state8表示投入四元钱币,state9表示投入四元五角钱币,state10表示投入五元钱币; 2)输入信号:若投入五角钱币coin5为1时则datain为01,若投入一元钱币coin10为1时则datain为10,若finish为1时则datain为00; 3)输出信号:下一状态到来时输出并找零,state4、state6、state8、state10状态时若datain为00时分别输出1.5元、2.5、3.5元、4.5元的饮料,若下一上升沿到来时datain仍为00则找零退回一元或五角钱币,若datain不为00则进行下一次购买。 五、源代码 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shj3 is port(clk:in std_logic; set:in std_logic; datain:in std_logic_vector(1 downto 0); price,quantity:in std_logic_vector(3 downto 0); act:out std_logic_vector(3 downto 0); y0:out std_logic_vector(6 downto 0); act5,act10:out std_logic); end shj3; architecture behav of shj3 is type ram_type is array(3 downto 0)of std_logi

文档评论(0)

aena45 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档