网站大量收购闲置独家精品文档,联系QQ:2885784924

频率测试仪2.doc

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
频率测试仪2

测频模块 LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY cplj IS PORT( clk: IN STD_LOGIC; LOAD:out std_logic; CLR_CNT: OUT STD_LOGIC; TSTEN: OUT STD_LOGIC); END cplj; ARCHITECTURE a OF cplj IS SIGNAL Div2clk : STD_LOGIC; BEGIN process(CLK) begin IF CLKevent and CLK=1 THEN Div2clk=not Div2clk; END IF; END process; process(CLK,Div2clk) BEGIN IF CLK=0 and Div2clk=0 THEN CLR_CNT=1; ELSE CLR_CNT=0; END IF; END PROCESS ; LOAD=not Div2clk; TSTEN=Div2clk; END a; 计数器模块 library ieee; use ieee.std_logic_1164.all; entity cnt10 is port(clk:in std_logic; clr:in std_logic; en:in std_logic; cq:out integer range 0 to 15; rco:out std_logic); end cnt10; architecture art of cnt10 is signal cqi:integer range 0 to 15; begin process(clk,clr,en) begin if clr=1then cqi=0; elsif clkevent and clk=1then if en=1 then if cqi9 then cqi=cqi+1; else cqi=0; end if; end if; end if; end process; process(cqi) begin if (cqi=9)then rco=1; else rco=0; end if; cq=cqi; end process; end art; 寄存器模块 library ieee; use ieee.std_logic_1164.all; entity reg32b is port(load:in std_logic; LED1,LED2,LED3,LED4,LED5 :in std_logic_vector(3 downto 0); dout1,dout2,dout3,dout4,dout5:out std_logic_vector(3 downto 0)); end; architecture one of reg32b is begin process(load) begin if loadevent and load=1 then dout1=LED1; dout2=LED2; dout3=LED3; dout4=LED4; dout5=LED5; end if; end process; end; 七段译码显示模块 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY view IS PORT(in_data: IN STD_LOGIC_vector(3 downto 0); out_data: OUT STD_LOGIC_vector(0 to 7)); END view; ARCHITECTURE outview OF view IS SIGNAL indata : STD_LOGIC_vector(3 downto 0); BEGIN

文档评论(0)

xcs88858 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

版权声明书
用户编号:8130065136000003

1亿VIP精品文档

相关文档