绝相相绝变换器设计(VHDL).docx

  1. 1、本文档共13页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
绝相相绝变换器设计(VHDL)

课程设计任务书学生姓名: 汪志鹏 专业班级: 电信1503 指导教师:阙大顺 工作单位: 信息工程学院题目:数字基带通信系统的设计与建模初始条件:(1)MAX+plus、Quartus II、ISE等软件;(2)课程设计辅导书:《通信原理课程设计指导》(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1)课程设计时间:第19周;(2)课程设计题目:数字基带通信系统的设计与建模;(3)本课程设计统一技术要求:按照要求对题目进行逻辑分析,了解数字基带通信系统,画出绝相变换器与相绝变换器的仿真模型,并记录实验结果波形,对实验结果进行分析;(4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;(5)写出本次课程设计的心得体会(至少500字)。时间安排:第19周参考文献:江国强.EDA技术与应用. 北京:电子工业出版社,2010 John G. Proakis.Digital Communications. 北京:电子工业出版社,2011指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日摘要数字基带通信系统是对数字基带信号不经过载波调制而直接进行传输的系统,由于数字基带原始信号可能在接收端可能出现误码,所以需要把绝对码在发送端转换成相对码,然后在信道中传输,最后再把相对码转换为原始信号输出。关键词:数字基带通信系统,绝对码,相对码1数字基带通信系统1.1 数字基带信号与模拟通信相比,数字通信具有许多优良的特性,它的缺点是设备复杂并且需要较大的传输带宽。数字处理的灵活性使得数字传输系统中传输的数字信息既可以是来自计算机、电传机等数据终端的各种数字信号,也可以是来自模拟信号经数字化处理后的脉冲编码信号。这些频谱从零频或很低频率开始的数字信号,称为数字基带信号。数字基带信号是表示数字信息的电波形,它可以用不同的电平或脉冲来表示。以矩形脉冲表示,存在单极性波形、双极性波形、单极性归零波形、双极性归零波形、差分波形和多电平波形信号。1.2数字基带通信系统在某些具有低通特性的有线信道中,特别是在传输距离不太远的情况下,基带信号可以不经过载波调制而直接进行传输,这类系统称为数字基带通信系统。数字基带系统框图如图1所示。输入输出噪声图11.3数字基带系统的意义第一,在利用对称电缆构成的近程数据通信系统中广泛采用数字基带传输系统;第二,随着数字通信技术的发展,数字基带通信系统不仅用于低俗数据传输,而且还用于高速数据传输;第三,任何一个采用线性调制的带通传输系统,可以等效为一个基带传输系统来研究。2绝相变换器与相绝变换器2.1绝相变换器与相绝变换器介绍绝相变换与相绝变换电路普遍应用于基带系统的部分响应系统与差分移相键控(DPSK)中。绝相变换是指将二进制非归零的数据码元变换为反应其相邻码元电位变化的新的码元。其变换规则为(2.1)而相绝变换是绝相变换的反变换,其变换规则为(2.2)2.2 绝相变换器的电路设计与FPGA建模2.2.1绝相变换器的电路设计根据上述变换原理,可以使用D触发器来充当延时器,由于异或门为组合逻辑器件,可能存在输出冒险现象,所以可以其后加一个D触发器来克服冒险现象。电路如图2所示。图22.2.2绝相变换器的FPGA建模根据上述原理,绝相变换器的VHDL程序是:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entityjuexiang is Port ( datain : in STD_LOGIC;clk : in STD_LOGIC;dataout : out STD_LOGIC);endjuexiang;architecture Behavioral of juexiang issignal a : std_logic;signal b : std_logic;beginprocess(clk)beginif (clkevent and clk = 1) then b = a;dataout = a;elseb = 0;end if;a = b xordatain;end process;end Behavioral;2.3相绝变换器的电路设计与FPGA建模2.3.1相绝变换器的电路设计根据原理,相绝变换器的电路如图3所示。图32.3.2相绝变换器的FPGA建模根据原理,相绝变换器的VHDL程序是:library IEEE;use IEEE.STD_LOGIC_1164.ALL;entityx

文档评论(0)

yaocen + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档