洗衣机定时电路程序.doc

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
洗衣机定时电路程序

1-实现数码管显示 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity showtime is port(remain_time:in std_logic_vector(7 downto 0); cp:in std_logic; q1,q2:out std_logic;--q1为低位 a,b,c,d,e,f,g:out std_logic ); end showtime; architecture rtl of showtime is signal temp:std_logic_vector(6 downto 0); signal bcd:std_logic_vector(3 downto 0); signal choose:std_logic; begin process(cp) begin if(cpevent and cp=1) then choose=not choose; if(choose=1) then q1=0;q2=1; bcd=remain_time(7 downto 4); else q1=1;q2=0; bcd=remain_time(3 downto 0); end if; end if; end process; process(bcd) begin case bcd is when 0000= temp= 1111110 ; when 0001= temp= 0110000 ; when 0010= temp= 1101101 ; when 0011= temp= 1111001 ; when 0100= temp= 0110011 ; when 0101= temp= 1011011 ; when 0110= temp= 1011111 ; when 0111= temp=1110000 ; when 1000= temp=1111111 ; when 1001= temp= 1111011 ; when others=temp=1111011; end case; a=temp(6);b=temp(5);c=temp(4);d=temp(3);e=temp(2);f=temp(1);g=temp(0); end process; end rtl; 2--时序电路。控制洗衣机按20秒正转,停十秒。20秒反转,停十秒的顺序运行,直到时间结束信号的到来 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shixu is port(cp,en,rd:in std_logic; q1,q2:out std_logic--00为停机,10为正转,01为反转 ); end shixu; architecture rtl of shixu is begin process(cp) --variable wash_time:integer range 0 to 19; --variable wait_time:integer range 0 to 9; variable state:std_logic; --0代表正转,1代表反转 variable wash_time:integer := 21; variable wait_time:integer := 9; begin --if(en=0) -- wash_time:=19; -- wait_time:=9; -- state:=0; -- end if; if(en=0) then wash_time:=21; Q1=0;Q2=0;--停机状态 else if(cpevent and cp=1) then if(rd=1) then if(wash_time0) then wash_time:=wash_time-1; wait_time:=9;--等待时间恢复 else if(wait_time0)--运行时间结束,等待时间未到 then wait_time:=wait_time-1; --等待时

文档评论(0)

xy88118 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档