EDA实践课程设计(1).ppt

  1. 1、本文档共53页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
(2)编译设计文件(续5) 5、RTL阅读器 RTL阅读器窗口的右边,是过程设计结果的主窗口,包括设计电路的模块和连线,RTL阅读器的左边是层次列表,在每个层次上一树状形式列出了设计电路的所有单元。层次列表的内容包括以下几个方面: (1)实例Instances,能够被展开成低层次模块或实例; (2)原语Primitives,不能被展开为任何低层次模块的低层次节点; (3)引脚Pin,当前层次的I/O端口,如果端口是总线,也可以将其展开,观察到端口中每一个端口的信号; (4)网线Net,是连接节点的连线,当网线是总线时也可以展开,观察每条网线。 (2)编译设计文件(续6) 5、RTL阅读器 双击结构图中的实例,可以展开此模块的下一级结构图 (3)仿真设计文件 仿真的目的就是在软件环境下,验证电路的行为和设想中的是否一致。 FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性,时序仿真则在电路已经映射到特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。 仿真一般需要建立波形文件、输入信号节点、编辑输入信号、波形文件的保存和运行仿真器等过程。 (3)仿真设计文件(续1) 1 、建立波形文件 波形文件用来为设计产生输入激励信号。利用 Quartus II 波形编辑器可以创建矢量波形文件(.vwf) 创建一个新的矢量波形文件步骤如下: (1)选择QuartusⅡ主界面 File 菜单下的New命令,弹出新建对话框; (2)在新建话框中选择 Other Files 标签页,从中选择 Vector Waveform File,点击OK按钮,则打开一个空的波形编辑器窗口,主要分为信号栏、工具栏和波形栏 。 (3)仿真设计文件(续2) 1 、建立波形文件 波形编辑器窗口 (3)仿真设计文件(续3) 2、输入信号节点 (1) 在波形编辑方式下, 执行Edit菜单中的 Insert Node or Bus命令,或者在波形编辑器左边Name列的空白处点击鼠标右键,弹出的Insert Nodeor Bus对话框 (3)仿真设计文件(续4) 2、输入信号节点 (2)点击Insert Nodeor Bus对话框中的Node Finder…按钮,弹出Node Finder窗口,在此窗口中添加信号节点。 (3)仿真设计文件(续5) 3、编辑输入信号 编辑输入信号是指在波形编辑器中指定输入节点的逻辑电平变化,编辑输入节点的波形。 在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值。 具体功能如下: :在波形文件中添加注释; :修改信号的波形值,把选定区域的波形更改成原值的相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名,可以快捷找到待观察信号; :将某个波形替换为另一个波形; :给选定信号赋原值的反值; :输入任意固定的值; :输入随机值 :给选定的信号赋值,X表示不定态,0表示赋0,1表示赋1,Z表示高阻态,W表示弱信号,L表示低电平,H表示高电平,DC表示不赋值。 (3)仿真设计文件(续6) 3、编辑输入信号 :设置时钟信号的波形参数,先选中需要赋值的信号,然后鼠标右键点击此图标弹出Clock对话框,在此对话框中可以设置输入时钟信号的起始时间(Start Time)、结束时间(End Time)、时钟脉冲周期(Period),相位偏置(Offset)以及占空比。 :给信号赋计数值 ,先选中需要赋值的信号,然后鼠标右键点击此图标弹出如下图所示的Count Value对话框,然后赋值。 Count Value对话框的Counting页 Count Value对话框的Timing页 (3)仿真设计文件(续7) 4、仿真波形文件 QuartusⅡ软件中默认的是时序仿真,如果进行功能仿真则需要先对仿真进行设置,步骤如下: (1)选择QuartusⅡ主窗口Assignments菜单下的Settings…命令,可以进入参数设置页面,如下图所示,然后单击Simulation Settings ,在右边的对话框中的Simulation mode中选择“Function”; (3)仿真设计文件(续8) 4、仿真波形文件 (2)选择QuartusⅡ主窗口Processing菜单下的Generate Functional Simulation Netlist命

您可能关注的文档

文档评论(0)

ze122230743 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档