EDA实验报告:十进制计数器.pdf

  1. 1、本文档共7页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
EDA实验报告:十进制计数器

实 验 报 告 课程名称 EDA 原理及应用 实验名称 十进制计数器 实验类型 验证 学 时 2 系 别 专 业 年级班别 级 班 开出学期 第 期 学生姓名 学 号 实验教师 成 绩 编 号 年 月 日 重庆三峡学院实验报告纸 一、实验目的 1. 熟悉数码管的工作原理; 2. 熟悉 D 触发器的工作原理; 二、实验内容 用 VHDL 语言设计一个带有异步复位和同步加载功能的十进制加法计算器: 1. 用 VHDL 语言编辑; 2. 生成波形仿真; 3. 熟悉分析芯片管脚的操作过程; 4. 下载到试验箱进行仿真。 三、实验过程、步骤及结果 一.学习 D 触发器: D 触发器模块图 D 触发器时序波形图 由D 触发器模块图可知:D 触发器两个输入信号,一个是时钟信号(CLK), 一个是输入信号(D);一个输出信号(Q)。 由D 触发器时序波形图可知:当 CLK 为上升沿的时候,输出 D 对应的状 2 重庆三峡学院实验报告纸 态 (0 或 1),直到下一个上升沿。 二.基本时序元件的 VHDL 表述: 时钟信号的上升沿描述:clock’event and clock = ‘1’; 时钟信号的下降沿描述:clock’event and clock = ‘0’; 三.编写程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY jishuqi is PORT( CLR,EN,CLK: IN STD_LOGIC; JinWein : OUT STD_LOGIC; SEGOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- SEG7 Display O/P SELOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- Select SEG7 O/P Q : buffer STD_LOGIC_VECTOR( 3 DOWNTO 0); -- 3 重庆三峡学院实验报告纸 Number Display Signal M : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END jishuqi; ARCHITECTURE a OF jishuqi IS SIGNAL CO : STD_LOGIC; BEGIN M = 0010; SELOUT = PROCESS(clk) BEGIN IF(CLKevent and CLK =1) THEN IF(CLR=1) T

文档评论(0)

138****7331 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档