数电课程设计温度采集于发送.docxVIP

数电课程设计温度采集于发送.docx

此“教育”领域文档为创作者个人分享资料,不作为权威性指导和指引,仅供参考
  1. 1、本文档共11页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
数电课程设计温度采集于发送

数字电路课程设计——温度采集与发送西安电子科技大学自动务分析:用ADC0809 八位集成A/D转换器,将测温电路传回的模拟电压信号转化为8位的数字信号,然后将其送入预先下载好程序的FPGA进行处理和发送,从而实现对温度的测量与发送处理。设计思路:原理框图设计相应的温度采集模块的硬件电路;编写程序:软件分频,利用软件将系统给定的频率换算为ADC0908的工作频率;串行通信,将数字信号进行存储和发送。编写模拟的输入信号sig。系统所用器件:,图1-1 图1-2测温电路;如图1-1,其中用到电阻8个:(100k电阻两个,1k电阻两个,10k电阻两个,12k电阻一个,最大阻值为50k的滑动变阻器一个。)运算放大器两个;AD590(AD590是美国ANALOG DEVICES公司的单片集成两端感温电流源,其输出电流与绝对温度成比例。)温度传感器一个;限压二极管一只;(6v);12v的电压源。传感器传来的温度变化的电流信号经过10k电阻变为电压信号,经过运算放大器后(V=7.73+T/100)结合电位器(V=2.73)的调节后参与减法器的运算,最终使得V0 的数值和实际温度的数值大小相等。ADC0809八位集成A/D转换器(《数字电子技术基础·第二版,西电出版社》):使用IN3端口输入来自测温电路输出的模拟信号(其中ADDC设置为0,ADDB设置为1,ADDA设置为1);时钟由FPGA中软件提供;3. 显示部分由七段数码管显示。四,程序说明及仿真结果:Sig:(因为在仿真的时候,不加入模拟信号,没法仿真,就设置了一个信号,在仿真时替换测温电路输入的模拟信号,实际中用测温电路传回的信号替代),在128MHz下,输出模拟的四十组0到255之间的类正弦模拟信号。Conta:?此为外部硬件时钟信号产生设备产生128MHz的时钟信号,分频后向sig、CONVERTER、ADC0809提供主时钟信号,以及向ADC0809提供start的信号;CONVERTER:在64MHz下,控制ADC0809,以及完成串行转换。ADC0809:在500KHz下,共有五个工作状态(st0,st1,st2,st3,st4),在st0时读入模拟信号,st1时进行逐次逼近计算,st2时等待oe信号,st3时输出转换结果,st4时无动作然后转回st0。程序:Sig模块:libraryieee;use ieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entity sig is --产生模拟信号port(clk:instd_logic; --128MHztemp:outstd_logic_vector(7 downto 0));end sig;architecturebehav of sig issignal p:integer range 0 to 40:=0;beginprocess(clk,p)beginif (clkevent and clk=1) then p=p+1;case p iswhen 1=temp--19when 2=temp--39when 3=temp--57when 4=temp--74when 5=temp--89when 6=temp--102when 7=temp--113when 8=temp--120when 9=temp--125when 10=temp--127when 11=temp--125when 12=tempwhen 13=tempwhen 14=tempwhen 15=tempwhen 16=tempwhen 17=tempwhen 18=tempwhen 19=tempwhen 20=tempwhen 21=temp--237when 22=temp--217when 23=temp--199when 24=temp--182when 25=temp=1010

文档评论(0)

bokegood + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档