两人抢答,先抢为有效,用发光二极管显示是否抢到优先9.pptVIP

两人抢答,先抢为有效,用发光二极管显示是否抢到优先9.ppt

  1. 1、本文档共14页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
两人抢答,先抢为有效,用发光二极管显示是否抢到优先9

????? 设计一个2人抢答器。要求如下: 设计任务 ?????? 1.两人抢答,先抢为有效,用发光二极 管显示是否抢到优先答题权。 ?????? 2.每人2位计分显示,答错了不加分,答 错了不加分,答对了可加10分、20 、 30分。 ?????? 3.每题结束后,裁判按复位,可重新抢 答下一题。 ????? 4. 累计加分可由裁判随时清除。 抢答器设计总体框图 抢答器端口图 * * 源程序 ??? library ieee; use ieee.std_logic_1164.all; ??? use ieee.std_logic_signed.all; ??? entity qd is ?????????? port(i1,i2 : in bit; --抢答器两输入端。 ???????????reset : in bit; --抢答器复位端。 ???????????g10,g20,g30:in bit; --加分输入端10分、20分、 30分。 ????????????? ??????????? light1,light2:out bit:=0; --抢答标志灯。 ????????????? t11:out std_logic_vector(6 downto 0):= 0111111; --t11、t12,显示第一个人得分。 ????????????? t12:out std_logic_vector(6 downto 0):= 0111111; ????????????? t21:out std_logic_vector(6 downto 0):= 0111111; --t21、t22,显示第二个人得分。 ??? signal cs2:integer range 0 to 9; t22:out std_logic_vector(6 downto 0):= 0111111; clk:in bit; --时钟输入端 cong:in bit --清零控制端 ); ??? end qd; ??? architecture stru of qd is ??? signal cs1:integer range 0 to 9; ??? signal cs2:integer range 0 to 9; ??? signal a,b:bit:=0; ??? signal l1,l2:bit:=0; ??? begin ??? process(clk) ??? begin ??? if clkevent and clk=1 then ?????? if(cong=1) then ?????? if(reset=1) then ?????????? if (i1 =0 and a=0) then l1=1; a= 1 ; --抢答。 ?????????? elsif ( i2 =0 and a=0) then l2=1; a= 1 ; ????????? end if; if (g10 =0 and l1=1 and b=0 ) then cs1=cs1+1 ; b=1 ; ????????? elsif (g20 =0 and l1=1 and b=0 ) then cs1=cs1+2 ; b=1 ; ????????? elsif (g30 =0 and l1=1 and b=0 ) then cs1=cs1+3 ; b=1 ; ????????? end if; --完成第一人的加分。 ??????????? if(g10=0 and l2=1 and b=0) then cs2=cs2+1;b=1; elsif(g20=0 and l2=1 and b=0) then cs2=cs2+2;b=1; ?????????? ????????? elsif(g30=0 and l2=1 and b=0) then cs2=cs2+3;b=1; end if; --完成第二人的加分。 ???????????? if (cs1=0) then t11=0111111; --显示。 ????????? elsif (cs1=1) then t11= 0000110; ????????? elsif (cs1=2) then t11= 1011011; ????????

文档评论(0)

haowendangqw + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档