电子设计自动实验指导书.doc

  1. 1、本文档共23页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
电子设计自动实验指导书

电子设计自动化 实验指导书 通信与电子工程学院 信息与通信工程中心 2007年09月 实验一 1位全加器电路的设计实现 一、 实验目的 1、掌握利用EDA软件进行原理图输入方式的电子线路设计的详细流程 2、熟悉利用QuartusⅡ的原理图输入方法设计简单电路,掌握层次化设计的方法。 二、实验原理 1位全加器构成可以由两个半加器组成,一个1位全加器可以按照书上讲述的方法来完成。一个2位全加器可以由2个1位全加器构成,加法器间的进位可以串行方式实现,即将低位加法器的进位输出cout与相邻的高位加法器的最低进位输入信号cin相接。 三、实验仪器 QUARTUSII软件,EDA实验箱 四、 实验内容 1、按照书上介绍的方法与流程,完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、并记录典型仿真的波形(建议选择电路模式5)。 2、(选做)建立一个更高层次的原理图设计,利用以上获得的1位全加器构成2位全加器,并完成编译、综合、适配、仿真和硬件测试。建议选择电路模式5;键2(a[1])、键1(a[0])输入2位加数;键4(b[1])、键3(b[0])输入2位被加数;键5(c[0])为初始进位,可不对其操作;发光管D2、D1分别接sum[1]和sum[0], D3接cout。 五、实验步骤 1、 (1)新建Block Diagram/Schematic File类型文件h_adder.bdf,如图1-1。 图1-1 (2)新建Project,可以利用Project Wizard实现。 (3)选择目标器件为Cyclone系列EP1C3T144C8。 (4)完成工程的建立。 (5)把h_adder.bdf文件加入工程中并设置为顶层文件h_adder. Bdf。 (6)Processing/Start Compilation完成编译综合时序分析 (7)进行仿真,先建立vector wave file,把需要仿真的信号加入文件中并保存,对输入信号进行赋值,注意考虑到信号的各种组合情况如图1-2。并设置仿真结束时间(Edit/End Time)然后进行仿真。并记录图形 图1-2 (7)生成block (8)新建Block Diagram/Schematic File类型文件f_adder.bdf,完成一位全加器的图形输入。 (9)进行仿真,先建立vector wave file,把需要仿真的信号加入文件中并保存,对输入信号进行赋值,并设置仿真结束时间(Edit/End Time)然后进行仿真。并记录仿真结果 (10)下载验证 1)设置实验箱:模式选择5,JP6为3.3V,JP5跳线两者均可,但注意对应关系。在模式5下有如下对应关系:键1、键2、键3(PIO0/1/2)分别接ain、bin、cin;发光管D2、D1(PIO9/8)分别接sum和cout,对应引脚图锁定引脚。 2)下载并通过键1,2,3输入;D1,D2输出验证。 2、在全加器基础上利用层次原理图的原理生成2位全加器,并完成编译、综合、适配、仿真和硬件测试。其中低位进位设为c[0],其中一个两位数设置为数组a[1..0], 另外一个两位数设置为数组b[1..0]。 在模式5下有如下对应关系:键1、键2、键3(PIO0/1/2)分别接a[0]、b[0]、c[0],键4、键5(PIO3/4)分别接a[1]、b[1];发光管D3、D2、D1(PIO10/9/8)分别接cout、sum[1]和sum[0],对应引脚图锁定引脚。 六、总结实验 实验报告:根据以上的实验内写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 注意: 1、编译后在仿真配置时选择不同的选项会导致结果,可以在总结报告时说明。 (1) (2)simulation mode选择Functional后仿真,注意执行Generate Functional Simulation Netlist。 (3)simulation mode选择Timing后仿真 请在仿真时总结出区别。 实验二 基本组合逻辑电路设计 一、实验目的 熟悉QuartusⅡ的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。 二、实验仪器 计算机、QUARTUSII软件、EDA实验箱 三、实验内容 1.利用QuartusⅡ的文本编辑输入(mux21a.vhd) 2选1多路选择器,参考书上有关例题,编译配置仿真条件(注意后面说明),记录如图1-1所示的相似仿真波形。 2.将此多路选择器看成是一个元件mux21a,利用元件例化语句描述图1-2,并将此文件放在同一目录中。以下是部分参考程序: ... COMPONENT MUX21A

文档评论(0)

189****7685 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档