直流马达转速与转向控制实习程式码.PPT

  1. 1、本文档共30页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
直流马达转速与转向控制实习程式码.PPT

* VHDL數位電路實習與專題設計 第七章 直流馬達控制實習 第 七 章 VHDL數位電路實習與專題設計 直流馬達控制實習 VHDL數位電路實習與專題設計 文魁資訊-P8127 VHDL數位電路實習與專題設計 * 單元10-1 PWM脈寬調變實習 實驗目的 瞭解直流馬達之驅動與PWM脈寬調變的控制方法 VHDL數位電路實習與專題設計 * 相關知識 直流馬達之驅動 直流馬達為兩個輸入的驅動界面,一般稱為 與 ,與步進馬達不同,一般直流馬達的驅動方式有兩種 : 電壓驅動:兩極的電壓差越大轉速越快,電壓差越小轉速越慢 電流驅動:兩極的電流量越大扭力越強,電流量越小扭力越弱 本章節以介紹如何控制直流馬達的轉速與轉向為主 可是我們CPLD只有數位訊號輸出,要怎麼產生不同電壓差的類比訊號呢?以下我們介紹PWM脈寬調變電路控制技術 VHDL數位電路實習與專題設計 * 相關知識 PWM脈寬調變 PWM是Pulse Width Modulation的縮寫,就是調整脈波寬度的意思 工作率示意圖 VHDL數位電路實習與專題設計 * 相關知識 若我們的高準位是5V電源電壓,低準位是0V電壓,則我們可以得到的平均電壓為 PWM示意圖 VHDL數位電路實習與專題設計 * 相關知識 PWM產生器方塊圖 比較器之VHDL語法:PWM = 1 when A B else 0; VHDL數位電路實習與專題設計 * 相關知識 計數器採下數計數器與上數計數器的兩種PWM訊號 VHDL數位電路實習與專題設計 * 實驗功能 利用指撥開關來產生不同的PWM訊號以得到不同的電壓值,我們將輸出驅動LED,以觀察LED的明亮差異 VHDL數位電路實習與專題設計 * 實驗電路圖 PWM實習電路圖 VHDL數位電路實習與專題設計 * PWM實習程式碼 1 ------------------------------------------------------------------- 2 --實驗名稱:PWM實習 3 --檔案名稱:pwm.vhd 4 --功 能:以指撥開關來調整PWM訊號輸出 5 --日 期:2003.8.8 6 ------------------------------------------------------------------- 7 library ieee; 8 use ieee.std_logic_1164.all; 9 use ieee.std_logic_arith.all; 10 use ieee.std_logic_unsigned.all; 11 12 entity pwm is 13 port( 14 clk :in std_logic; --系統頻率 15 A :in std_logic_vector(7 downto 0); 16 pwm :out std_logic 17 ); 18 end pwm; 19 20 architecture a of pwm is 21 signal B: std_logic_vector(7 downto 0); 22 begin 23 24 ----- 下數計數器 ----- 25 process(clk) 26 begin 27 if clkevent and clk=1 then 28 B = B-1; 29 end if; 30 end process; 31 32 ----- 比較器 ----- 33 pwm=1 when A B else 0; 34 end a; VHDL數位電路實習與專題設計 * 陳慶逸、林昱翰編著---文魁資訊 功能模擬與CPLD下載驗證 VHDL數位電路實習與專題設計 * 燒錄於力浦電子FPT-3實驗板 PWM實習腳位配置圖 輸入 腳位 輸出 腳位 clk 43 pwm 4(LED1) A0 29(DIP1) A1 31(DIP2) A2 33(DIP3) A3 34(DIP4) A4 36(DIP5) A5 1(DIP6) A6 44(DIP7) A7 2(DIP8) 陳慶逸、林昱翰編著---文魁資訊 VHDL數位電路實習與專題設計 * 燒錄於力浦電子FPT-3實驗板 FPT-3實驗板元件規劃 A PWM 陳慶逸、林昱翰編著---文魁資訊 VHDL數位電路實習與專題設計 * 燒錄於力浦電子LP-2900實驗板 由於LP-2900實驗板上之L1 LED燈示為

文档评论(0)

ailuojue + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档