基于VHDL的三层电梯控制器摘要-中国海洋大学.PDFVIP

基于VHDL的三层电梯控制器摘要-中国海洋大学.PDF

  1. 1、本文档共9页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
基于VHDL的三层电梯控制器摘要-中国海洋大学

基于VHDL 的三层电梯控制器 中国海洋大学:梁 山 宋连法 马立洋 邮箱442458366@ 摘要 随着时代的进步、科技的发展,各大城市高楼大厦平地起,这是城市建设蓬 勃发展的标志,我们就是在这喧哗的城市中生活、工作着,每天都会上下班,每 天都会进出电梯;从此,电梯与我们的生活形影不离,并让我们上下高楼变得方 便、安全快捷。从奥的斯发明的历史上第一部安全升降梯到现在的电梯,历经一 个多世纪,在这个过程中,电梯不论从外观、结构还是控制方式都发生了巨大的 变化,随着数字技术EDA、嵌入式技术的发展,电梯的控制变得微型化、智能化; 目前载人电梯都是微机控制的智能化、自动化设备,不需要专门的人员来操作驾 驶,普通乘客只需按下上下按钮和到达楼层的按钮就可以进行电梯的乘坐 本论文通过硬件描述语言VHDL对电梯控制器各功能模块进行编程,并使用 ISE软件对该程序进行了编译、仿真,结果表明该电梯遵循方向优先的原则提供 三个楼层服务并指示电梯的运行情况。 关键字:VHDL ISE 三层电梯 第一章 引言 1.1 EDA技术的发展 伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计 手段,已经广泛应用于模拟与数字电路系统等许多领域。电子设计自动化是一种 实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展 密切相关,它吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机 作为工作平台,促进了工程发展。 1. 三层电梯发展优势 三层电梯广泛应用于大型的货运之中,其使用快捷,货运方便,成本低。拥有电 子系统小型化、低功耗、高可靠性、开发过程投资小、周期短等优点,而且还可 以通过软件编程对硬件结构和工作方式进行重构,使得硬件设计如软件设计那般 方便快捷。 运用所学的EDA及VHDL知识,自行设计一个实验电路,将所学的知识进行活 学活用,增强对所学知识理解和运用,以及提高动手实践能力。 第二章 实现方法 2.1 总体框图 2.1.1实验原理图 2.1. 实验流程图 2. 模块分析 2.2.1 上升下降模块 电梯一层入口处设有上升请求开关(up1),停止开关(stop1);二层入口处 设有上 (up )、下请求开关 (down ),停止开关 (stop );三层入口处设有下降 请求开关(down3),停止开关(stop3)。 2.2. 状态切换及控制指示灯模块 当有请求信号时,电梯通过切换上升、下降状态,由当前楼层移动到指定楼层。 每层电梯入口处设有位置指示装置及电梯运行模式 (上升或下降)指示装置及指 示灯(uplight,downlight,stoplight)。 2.2.3 电梯初始化模块 当启动复位键时,电梯初始状态为一层开门状态。 2.2.4 模拟电梯开关门模块 电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后, 电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停 留在当前层。 第三章 仿真 在波形仿真中,根据实际,我们有必要做一些假设,即是: 1 外部请求上升,进入电梯后一定是按更高层的停站按钮; 外部请求下降,进入电梯后一定是按更低层的停站按钮; 3如果有人进入电梯,则一定有听着呢请求; 设定仿真时间为60ms,lifeclk信号周期为10ns的时钟信号,buttonclk信 号为周期为1ns的时钟信号。doorlight信号逻辑 ‘1’表示开门,逻辑 ‘0’表 示关门。udsig信号为逻辑 ‘1’表示电梯处于上升模式,逻辑 ‘0’表示处于下 降模式。uplight,downlight stoplight是三位二进制向量,波形图中的1代 表001表示一层有请求, 表示 “010”表示二层有请求,4代表 “100”表示三 层有请求。 下图的波形是在一层有上升请求的仿真波形,在reset信号产生一个脉冲 时,电梯回复初始状态,即stopon1状态,然后等待4s,关门检测没有请求信 号,于是电梯此时停在一层。当电梯时钟上升沿检测到一层上升请求信号 fuplight(1)为 ‘1’时,电梯开门,fuplight(1)清零,等待4s,关门检测到二 层停站请求,于是电梯上升到二层停止,开门stoplight(2)清零,position信 号由1变为 ,电梯最终停在二层。 第四章

您可能关注的文档

文档评论(0)

suijiazhuang1 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档