VHDL语言 第四章 VHDL语言构造体描述方式.pptVIP

VHDL语言 第四章 VHDL语言构造体描述方式.ppt

  1. 1、本文档共42页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
VHDL语言 第四章 VHDL语言构造体描述方式.ppt

假如某一个逻辑电路是由AND门、OR门和XOR门构成的,而AND门、OR门和XOR门的逻辑电路都已由现成的设计单元。那么,用这些现成的设计单元(AND的ENTITY、OR的ENTITY和XOR的ENTITY)经适当连接就可以构成新的设计电路的ENTITY。 这样的描述,其结构非常清晰,且能做到与电原理图中所画的器件一一对应。当然,如要用结构描述方式,则要求设计人员有较多的硬件设计知识。 结构描述是元件互连的描述,使用元件例化语句。 定义:对所调用的较低层次的实体模块(元件)的 名称、类属参数、端口类型、数据类型的声明 语法: 元件声明类似实体声明(entity) 1. 元件声明 COMPONENT 元件名 GENERIC 说明; PORT 说明; END COMPONENT; ----类属声明 ----端口声明 元件声明举例 可在以下部分声明元件: 构造体(Architecture) 程序包(Package) 块(Block) 被声明元件的来源: VHDL设计实体; 其它HDL设计实体; 另外一种标准格式的文件,如EDIF或XNF; 厂商提供的工艺库中的元件、IP核。 定义:把低层元件安装(调用)到当前层次 设计实体内部的过程。 包括:类属参数传递、元件端口映射。 例如: u2: and2 PORT MAP (nsel,d1,ab); 2. 元件的例化 COMPONENT_INSTANT语句的书写格式为: 标号名:元件名 PORT MAP (信号,···); 标号名加在元件名的前面,在该构造体的说明中该标号名一定是唯一的。 下一层元件的端口信号与实际连接的信号用PORT MAP的映射关系联系起来。 映射方法有两种:一种是位置映射;一种是名称映射。 位置映射方法 所谓位置映射就是在下一层中元件声明的信号书写顺序位置和PORT MAP()中指定的实际信号书写顺序位置一一对应。例如,在二输入与门中端口的输入输出定义为: PORT (a,b: IN BIT; c: OUT BIT); 在设计的引用中与门u2的信号对应关系描述为: u2: and2 PORT MAP (nsel,d1,ab); 名称映射方法 所谓名称映射就是将已经存于库中的现成模块的各端口名称,赋予设计中模块的信号名。 例如: u2: and2 PORT MAP (a=nsel,b=d1,c=ab); 在输出信号没有连接的情况下,对应端口的描述可以省略。 4.3 构造体描述方式总结 三种描述方式比较 布尔函数的表示方法, 最通用的两种标准化形式是积之和(析取范式),以及和之积(合取范式)的形式。 第四章 VHDL语言构造体描述方式 对硬件系统进行描述,可以采用3种不同风格的描述方式,即行为描述方式、寄存器传输(或数据流Data Flow)描述方式和结构化的描述方式。这3种描述方式从不同的角度对硬件系统进行行为和功能的描述。在当前情况下,采用后两种描述方式的VHDL语言程序可以进行逻辑综合,而采用行为描述的VHDL语言程序,大部分只用于系统仿真,少数的也可以进行逻辑综合。本章针对这3种不同风格的描述方式作一介绍。 4.1 构造体的行为描述方式 行为描述方式是对系统数学模型的描述,其抽象程度比寄存器传输描述方式和结构化描述方式的更高。 在行为描述方式的程序中大量采用算术运算、关系运算、惯性延时、传输延时等难于进行逻辑综合和不能进行逻辑综合的VHDL语句。 一般来说,采用行为描述方式的VHDL语言程序主要用于系统数学模型的仿真或者系统工作原理的仿真。 补充:什么是仿真?什么是逻辑综合?    仿真:一个计算系统通过使用允许它运行为另一个系统而写的程序的软件和硬件,而对后者进行的模拟。简单说来就是对一个程序或模型进行“模拟”的过程.  逻辑综合:设计自动化工具将高层次的系统描述转化为具体的硬件.这与计算机软件程序编译成机器代码类似. 4.1.1 代入语句 代入语句是VHDL语言中进行行为描述的最基本的语句。 例如: a=b; 该语句的功能是a得到b的值。当该语句有效时,现行信号b的值将代入到信号a。只要b的值有一个新的变化,那么该语句将被执行。所以,b是该代入语句的一个敏感量

文档评论(0)

peace0308 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档