fpga培训及总结.pptxVIP

  1. 1、本文档共45页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
fpga培训及总结

FPGA入门培训;大纲;一、FPGA的应用背景;FPGA主要厂商及产品;图 UltraScale架构----业界首款ASIC级All Programmable架构,是行业首次在全面可编程的架构中应用尖端的ASIC技术,致力于从根本上满足人们对数百Gbps的系统性能、全线速下的智能处理能力以及高速浮点运算水平的需求。;UltraScale架构能够从布线、时钟、关键路径及电源等四方面解决影响先进节点芯片性能方面的最大瓶颈问题——互连;Lattice 资深产品暨企业行销总监Brent Przybus表示,网通、工业控制、监控系统等应用正掀起分布式计算的风潮,低功耗、小尺寸FPGA市场后市可期。有鉴于此,为迎合市场趋势要求,降低耗电量、缩小体积及缩减成本将为Lattice于2013年侧重的三大FPGA产品策略;自收购Actel后,Microsemi的Flash FPGA在FPGA市场走向主流。其SmartFusion2产品系列具有最高标准的安全性、带有实时嵌入式处理器的即时运作特性,以及具有SEU免疫能力的无与伦比的高可靠性和扩展温度范围支持,已经用于电信、工业、安防和国防市场中众多客户系统中;FPGA业界的后起之秀——Achronix(亚克尼斯)以其凌厉的市场攻势。Speedster22i FPGA采用英特尔领先的22纳米3D Tri-Gate晶体管技术,其功耗是竞争对手同类器件的一半,是业内唯一内嵌10/40/100G以太网MAC、100Gbps Interlaken、PCI Express Gen1/2/3和2.133 Gbps DDR3控制器硬核的FPGA器件。;技术发展趋势;二、器件的基本结构;每个CLB包含4个相似的Slice 4个Slice 按照如上图的阵列排布每个 Slice都与一个开关矩阵紧密相接以便连到通用布线阵列GRM 在CLB中还有内部的快速 的互联线保证4个slice 之间快速的互联;每个乘法器块紧靠着Block RAM 共用4个开关矩阵;;三、工程实例;;;# STEP#0: define output directory area. Set outputDir ./Tutorial_Created_Data/bft_output file mkdir $outputDir # STEP#1: setup design sources and constraints read_vhdl -library bftLib [ glob ./Sources/hdl/bftLib/*.vhdl ] read_vhdl ./Sources/hdl/bft.vhdl read_verilog [ glob ./Sources/hdl/*.v ] read_xdc ./Sources/bft_full_kintex7.xdc;# STEP#2: run synthesis, report utilization and timing synth_design -top bft -part xc7k70tfbg484-2 write_checkpoint -force $outputDir/post_synth report_timing_summary -file $outputDir/post_synth_timing_summary.rpt report_power -file $outputDir/post_synth_power.rpt # STEP#3: run placement and logic optimzation, report utilization and timingestimates, write checkpoint design opt_design place_design phys_opt_design write_checkpoint -force $outputDir/post_place report_timing_summary -file $outputDir/post_place_timing_summary.rpt;# STEP#4: run router, report actual utilization and timing, write checkpoint design, run drc, write verilog and xdc out route_design write_checkpoint -force $outputDir/post_route report_timing_summary -file $outputDir/post_route_timing_summary.rpt report_timing

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档