多功能数字钟设计FPGA Verilog.docxVIP

  1. 1、本文档共32页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
njust 多功能数字钟设计 基于VerilogHDL语言 学院: 电子工程与光电技术学院 学号: 912104220139 姓名: 匡鑫 指导教师: 谭雪琴 2014年11月21日星期五 摘要:基于FPGA平台,运用Verilog语言编写设计一多功能数字钟,包括基本的时钟,校时校分,整点报时功能。扩展闹钟,秒表,万年历,键盘输入功能。 Abstract:FPGA-based platform, using Verilog language to design a multi-functional digital clock, including basic function of clock, school hours, school minutes,the whole point timekeeping. And extended function of alarm clock, stopwatch, calendar, keyboard input. 关键词:多功能数字钟,可编程逻辑器件,EDA设计,Verilog Keywords:multi-functional digital clock, FPGA, EDA disign, Verilog 目录 TOC \o 1-3 \h \z \u HYPERLINK \l _Toc404332744 1 设计要求 PAGEREF _Toc404332744 \h 2 HYPERLINK \l _Toc404332745 2 设计方案选择及思路分析 PAGEREF _Toc404332745 \h 2 HYPERLINK \l _Toc404332746 3 各子模块设计原理和分析 PAGEREF _Toc404332746 \h 3 HYPERLINK \l _Toc404332747 3.1 分频模块 PAGEREF _Toc404332747 \h 3 HYPERLINK \l _Toc404332748 3.2 时分秒模块 PAGEREF _Toc404332748 \h 5 HYPERLINK \l _Toc404332805 3.3 时分调整模块 PAGEREF _Toc404332805 \h 6 HYPERLINK \l _Toc404332841 3.4 报时模块 PAGEREF _Toc404332841 \h 7 HYPERLINK \l _Toc404332854 3.5 扫描显示模块 PAGEREF _Toc404332854 \h 7 HYPERLINK \l _Toc404332903 3.6 秒表模块 PAGEREF _Toc404332903 \h 9 HYPERLINK \l _Toc404332955 3.7 闹钟模块 PAGEREF _Toc404332955 \h 10 HYPERLINK \l _Toc404332981 3.8 万年历模块 PAGEREF _Toc404332981 \h 12 HYPERLINK \l _Toc404333020 3.9 键盘扫描模块 PAGEREF _Toc404333020 \h 13 HYPERLINK \l _Toc404333102 4 调试仿真 PAGEREF _Toc404333102 \h 15 HYPERLINK \l _Toc404333103 5 编程下载 PAGEREF _Toc404333103 \h 16 HYPERLINK \l _Toc404333104 6 结论 PAGEREF _Toc404333104 \h 16 HYPERLINK \l _Toc404333105 7 参考文献 PAGEREF _Toc404333105 \h 17 HYPERLINK \l _Toc404333106 8 实验感想 PAGEREF _Toc404333106 \h 17 HYPERLINK \l _Toc404333107 9 源代码 PAGEREF _Toc404333107 \h 17 1 设计要求 基于FPGA可编程逻辑器件,用quatusII软件设计一个多功能数字钟,其基本要求如下: 1.有基础的计时显示功能,即时、分、秒显示在6个七段管上 2.K0,K1,K2,K3分别为系统使能(暂停),时钟清零,校时,校分开关。由于按键是长期处于“1”状态,故在这里采用低电平“0”为有效电平(本人认为原要求中“1”为有效电平不合理)。 3. 使时钟具有整点报时功能(当时钟计到5

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档