北邮数字电路与逻辑设计实验报告.pdfVIP

北邮数字电路与逻辑设计实验报告.pdf

  1. 1、本文档共20页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
北京邮电大学数字电路与逻辑 设计实验报告 学院: 班级: 姓名: 学号: 实验一 Quartus II原理图输入法设计与实现 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真; (2)掌握Quartus II 图形模块单元的生成与调用; (3)熟悉实验板的使用; 二、实验所用器材: (1) 计算机; (2) 直流稳压电源; (3) 数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出 信号。 (3)用3线-8线译码器 (74LS138)和逻辑门设计实现函数 ,仿真验证其功能, 并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出 信号。 四、 实验原理图及仿真波形图 (1)半加器 半加器原理图 仿真波形 仿真波形图分析:根据仿真波形对比半加器真值表,可以确定电路实现了半加器的功能。但 我们也可以发现输出SO 出现了静态功能冒险,要消除该冒险可以加入相应的选通脉冲。 (2)全加器 全加器原理图 仿真波形 仿真波形图分析 :根据仿真波形对比半加器真值表,可以确定电路实现了全加器的功 能 (2) 741383线-8线译码器 原理图 仿真波形图 仿真波形图分析 ;当且仅当ABC 输入为000、010、100、111时,F 1,可知电路实现了函 数。 实验二 用VHDL设计与实现组合逻辑电路 一、实验目的: (1)熟悉用VHDL语言设计时序逻辑电路的方法; (2)熟悉用Quartus II文本输入法进行电路设计; (3)熟悉不同的编码及其之间的转换。 二、实验所用器材: 1 ()计算机; 2 ()直流稳压电源; 3 ()数字系统与逻辑设计实验开发板。 三、实验任务要求 (1)用 VHDL语言设计实现一个共阴极7段数码管译码器; (2)用VHDL语言设计一个8421码转余三码的代码转换器; (3)用VHDL语言设计设计一个四位2进制奇校验器。 四、 实验代码及仿真波形图 数码管译码器 LIBRARYIEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY shumaguanyimaqi IS PORT(A:IN STD_LOGIC_VECTOR(3DOWNTO0); B:OUT STD_LOGIC_VECTOR(6DOWNTO0); C:OUT STD_LOGIC_VECTOR(5DOWNTO0)); END shumaguanyimaqi; ARCHITECTURE encoder_arch OF shumaguanyimaqiIS BEGIN PROCESS(A) BEGIN C 011111; CASEAIS WHEN0000 B 1111110;--0 WHEN0001 B 0110000;--1 WHEN0010 B 1101101;--2 WHEN0011 B 1111001;--3 WHEN0100 B 0110011;--4 WHEN0101 B 1011011;--5 WHEN0110 B 1011111;--6 WHEN0111 B 1110000;--7 WHEN1000 B 1111111;--8 WHEN1001 B 1111011;--9 WHEN OTHERS B ZZZZZZZ; END CASE; END PROCESS; END encoder_arch; 仿真波形图 仿真波形分析:A 是输入,A0-A3 是由低位到高位变化,B 是数码管的各个部分,随着A 输入的变化,B 数码管对应译码出相应的数字显示出来。 8421码转余三码 LIBRARYIEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITYjxhyusanmaIS PORT(A:IN STD_LOGIC_VECTOR(3DOWNT

您可能关注的文档

文档评论(0)

celkhn5460 + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档