课程设计数字电子钟设计报告材料.doc

  1. 1、本文档共8页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实用标准 精彩文档 实用标准 精彩文档 __________________学院__________级___________专业 姓名____________ 学号_______________ __________________学院__________级___________专业 姓名____________ 学号_______________ ………………………………(密)………………………………(封)………………………………(线)……………………………… 本科课程课程设计专用封面 课程设计题目: 所修课程名称: 修课程时间: 年 月至 年 月 完成课程设计日期: 年 月 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 目 录 HYPERLINK \l _Toc477 目录 PAGEREF _Toc477 2 TOC \o 1-3 \h \u HYPERLINK \l _Toc7157 一、设计任务和要求 PAGEREF _Toc7157 3 HYPERLINK \l _Toc31754 二、可选用器材 PAGEREF _Toc31754 3 HYPERLINK \l _Toc512 三、设计方案 PAGEREF _Toc512 3 HYPERLINK \l _Toc3178 1:数字电子钟总体方案框图 PAGEREF _Toc3178 3 HYPERLINK \l _Toc11130 74LS161构成的时、分、秒计数部分电路图 PAGEREF _Toc11130 5 HYPERLINK \l _Toc6605 四、电路板焊接 PAGEREF _Toc6605 6 HYPERLINK \l _Toc6395 五、故障分析及其解决方法 PAGEREF _Toc6395 6 HYPERLINK \l _Toc30447 六、电路原理图 PAGEREF _Toc30447 7 HYPERLINK \l _Toc23751 七、数字电子钟仿真图: PAGEREF _Toc23751 8 HYPERLINK \l _Toc13716 八、数字电子钟实物图: PAGEREF _Toc13716 8 一、设计任务和要求 任务: 用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟 要求: 1.用晶振电路产生1Hz 标准秒信号。 2.秒、分为00~59六十进制计数器。 3.时为00~23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置,可分别对秒、分、时进行手动脉冲或连续脉冲输入的校正。 二、可选用器材 直流稳压电源,集成电路:CD4060、74LS74、74LS161、74LS248及门电路,晶振:32768Hz,电容100μF、22pF,电阻:200Ω、10kΩ、22MΩ,电位器:2.2kΩ,共阴显示器,开关:拨动开关、单次按键开关 三、设计方案 1:数字电子钟总体方案框图 计2数译码显示 秒、分、时分别为60、60、24进制计数器。秒、分均为六十进制,即显示00~59,它们的各位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为二进制,但当十进位到二,而个位计到4时清零,就为二十四进制了。 我们选用常用的共阴极半导体数码管及其译码驱动器,它们的型号分别为LC5011-11共阴数码管,74LS248 BCD码4-7段译码驱动器。译码驱动器显示的原理框图如图1所示。 LC5011-11共阴数码管和74LS248译码驱动器管脚排列如图2,图3所示。 图1译码显示原理图 图2-LC5011-11管脚图 图3-74LS248管脚图 74LS248芯片逻辑功能表如下图: 十进制 或功能 输入 输出 D C B A a b c d e f g 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 灭灯 灭零 灯测试 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 × 1 0 1 × × × × × × × × × × × × × × × × 0 × 0 0 0 0 0

文档评论(0)

linlin921 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档