实验5组合逻辑件的应用(II)-多路选择器—74LS151、74LS153.ppt

实验5组合逻辑件的应用(II)-多路选择器—74LS151、74LS153.ppt

  1. 1、本文档共27页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
实验5组合逻辑件的应用(II)-多路选择器—74LS151、74LS153

* * * * * * * * * * * * * * * * * * 进入实验室的几点要求和希望 1、要像上理论课一样,积极准备,认真实验; 2、要像到自己家里一样,保持实验环境整洁; 3、要像爱护自己一样,爱护我们的实验设备。 实验前的准备工作 1、检查实验台和相关设备是否供电正常; 2、检查实验所用到的电线是否完好无损; 3、切记断电接线,通电实验,断电拆线; 4、完成后要关设备电源,整理实验台。 2009年10月 数字电子技术实验 实验5 组合逻辑器件的应用(II)- 多路选择器—74LS151、74LS153 主讲人:雷乃清 封海潮 张涛 电工电子实验中心模电实验室 1、实验目的 2、实验原理 5、实验报告要求 4、实验内容及步骤 主要内容 3、实验设备与器件 1 实验目的 掌握集成数据选择器逻辑功能和使用方法; 学习用数据选择器构成组合逻辑电路。 1 实验目的 2 实验原理 2 实验原理 数据选择器 多输入输入、单数据输出的组合逻辑电路; 作用:“多路开关”,由地址码控制,从多组数据中选 择一组输出,类似一个多掷开关; 分类:2选1、4选1/74LS153、8选1/74LS151、16选1等。 4选1数据选择器示意图 74LS151 74LS153 2 实验原理 8选1数据选择器-74LS151 74LS151数据选择器引脚图及表达式 真值表 2 实验原理 双4选1数据选择器-74LS153 74LS153数据选择器 引脚分布图 真值表 2 实验原理 数据选择器实现逻辑函数 用8选1数据选择器74LS151实现函数: 真值表 逻辑电路图 3 实验设备与器件 3 实验设备与器件 KHM-2B型模拟实验装置 4 实验内容及步骤 4 实验内容及步骤 实验项目 根据功能表验证74LS151的功能; 根据功能表验证74LS153的功能; 用8选1数据选择器74LS151设计三输入多数表决电路,当三个输入中有两个或者两个以上为1时,输出为1,否则输出为0; 用4选1数据选择器74LS153实现函数 ; *利用8选1数据选择器设计一个血型遗传规律电路; *利用8选1数据选择器设计一个输血判断电路。 4 实验内容及步骤 用74LS151设计三人表决电路 设计要求: A/B/C三输入,两个及以上为1,输出1;否则输出0。 真值表—逻辑表达式 4 实验内容及步骤 用74LS151设计三人表决电路 逻辑电路图 4 实验内容及步骤 用74LS153实现函数 真值表-逻辑电路图 4 实验内容及步骤 *利用8选1数据选择器设计一个血型遗传规律电路 设计要求: 血型遗传符合以下规定。 4 实验内容及步骤 *74LS151设计血型遗传规律电路 遗传为O型血时:真值表-逻辑图 4 实验内容及步骤 *74LS151设计血型遗传规律电路 遗传为A型血时:真值表-逻辑图 4 实验内容及步骤 *74LS151设计血型遗传规律电路 遗传为B型血时:真值表-逻辑图 4 实验内容及步骤 *74LS151设计血型遗传规律电路 遗传为AB型血时:真值表-逻辑图 4 实验内容及步骤 *74LS151设计血型遗传规律电路 4 实验内容及步骤 *74LS151设计输血判断电路 真值表-逻辑电路图 5 实验报告要求 5 实验报告要求 复习数据选择器的工作原理; 用数据选择器对实验内容中各函数进行预设计; 用数据选择器对实验内容进行设计,写出设计过程,画出接线图,进行逻辑功能测试,并总结实验收获、体会。 认真仔细、整洁干净、内容充实、数据准确 下次实验内容:触发器及其应用 谢谢! * * *

文档评论(0)

ldj215323 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档