数字逻辑试卷及答案.doc

  1. 1、本文档共39页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
查看更多
PAGE PAGE 36 武汉大学计算机学院 2006~2007学年第二学期2006级《数字逻辑》 期未考试试卷 A卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若,则补=( ) 3、十进制数809对应的8421BCD码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数的反函数是( ) 8、与非门扇出系数NO的含义是( ) 9、若要消除函数对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A.原码 B.余3码 C.Gray码 D. 2、欲使J-K触发器在CP脉冲作用下的次态与现态相反,JK的取值应为( ) A.00 B.01 C.10 3、对完全确定原始状态表中的6个状态,A、B、C、D、E、F进行比简,若有(A,B),(D、E)等效,则最简状态表中只有( )个状态 A.2 B.4 C 4、下列集成电路芯片中,( )属于组合逻辑电路 A.计数器74290 B.寄存器74194 C.三一八译码器74138 D.集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A.4 B.5 C 6、用5G555构成的多谐振荡器有( ) A.两个稳态 B.两个暂稳态 C.一个稳态,一个暂稳态 D.既没有稳态,也没有暂稳态 7、可编程逻辑阵列PLA的与、或陈列是( ) A.与阵列可编程、或阵列可编程 B.与阵列不可编程、或阵列可编程 C.与阵列可编程、或阵列不可编程 D.与阵列不可编程、或阵列不可编程 8、最大项和最小项的关系是( ) A. B. C. D.无关系 三、逻辑函数化简(6分) 把化成最简与—或式 四、分析题(每小题12分,共24分) A1 A 1 ≥1 B ≥1 C F D ① 写出输出函数表达式 ② 列出真值表 ③ 说明电路功能 图1 图1 1x图22、 1 x 图2 ① 写出输出函数和激励函数表达式 ② 列出次态真值表,作出状态表和状态图 ③ 说明电路功能 ④ 设初态,作出x输入4个异步脉 冲后的状态y2y1和输出z的波形图。 五、设计题(每小题10分,共20分) 1、作出“1101”序列检测器的Moore模型原始状态图和状态表,电路有一个串行输入端x,一个输出端z。当x输入的序列中出现“1101”时,输出z为1,否则 输入x 0 1 0 1 1 0 1 1 0 1 0 输出z 0 0 0 0 0 0 1 0 0 0 0 2、用D触发器和适当的逻辑门设计能实现下列最简二进制状态表的同步时序逻辑电路 现态 y2 y1 次态/输出 x=0 x=1 00 01 11 10 01/0 11/0 01/0 00/0 10/0 10/0 00/0 11/1 D触发器激励表如下 Q→Qn+1 D 0 0 0 1 1 0 1 1 0 1 0 1 六 综合应用题(每小题10分,共20分) 1、用三一八译码器74138和适当的逻辑门设计一个三变量 “多数表决电路” 2、用四位二进制同步可逆计数器74193和八选一数据选择器74152设计一个序列发生器,循环产生该序列。序列中的最高位“1 (提示:首先把74193设计成八进制计数器,用其计数状态作八选一数据选择器的地址端,用要产生的序列位作数据选择器的数据输入端) A1A A1 A2 A0 G D0 D1 D2 D3 D4 D5 D6 D7 F 八选一MUX 74152 武汉大学计算机学院 2006-2007学年第二学期2006级《数字逻辑》 期末考试试

文档评论(0)

小教资源库 + 关注
实名认证
内容提供者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档