eda交通灯控制电路设计.docVIP

  1. 1、本文档共10页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
设计课题二:交通灯控制电路设计 一、问题描述: 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。 二、功能要求: 要完成本实验,首先必须了解交通路灯的燃灭规律。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。 目录 TOC \o 1-3 \h \z \u 一、交通灯控制电路设计的主要功能 3 二、课题的功能模块的划分 4 三、主要功能的实现 4 1.1倒计时模块 4 1.2交通灯控制转换模块 5 四、系统调试与仿真 7 五、总结与体会 8 六、附件 8 七、评分表 11 一、交通灯控制电路设计的主要功能 交通灯控制电路设计遵循“红灯停,绿灯行,黄灯提醒”原则。其初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。 本实验中主要应用了状态机以及减法器的设计原理。在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。 二、课题的功能模块的划分 CLK CLK 倒计时模块 交通灯控制转换模块 三、主要功能的实现 1.1倒计时模块 倒计时模块采用减法计数器设计,等到时钟的上升沿来到时,对计数器进行计数。其中减法计数器的代码如下: process(lock,clk) begin if lock=1 then cnt=init; else if clkevent and clk=1 then cnt=cnt-1; if cnt=0 then ov=1; end if; end if; time=cnt; end if; end process; 1.2交通灯控制转换模块 在设计交通灯控制电路转换模块时,采用状态机来实行这个功能模块,其中状态机共有五个状态,如:两个路口的红灯全亮;东西路口的绿灯亮,而南北路口的红灯亮;在延时后,东西路口绿灯灭,黄灯开始闪烁;在闪烁若干次后,东西路口的红灯亮,同时南北路口的绿灯亮;在延时后,南北路口的绿灯灭,黄灯开始闪烁;在闪烁若干次后,在切换到东西路口方向。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity trafic_light is port (clk,rst:in std_logic; 时钟信号,复位信号 time:out integer range 0 to 59; 时间信号 r1,r2,y1,y2,g1,g2:out std_logic); end trafic_light ; architecture rt1 of trafic_light is type state is(r1r2,r1g2,r1y2,r2g1,r2y1); signal n_state ,c_state:state; signal cnt ,init:integer range 0 to 49; signal lock,ov:std_logic; begin state_reg:process(clk,rst) b

文档评论(0)

diliao + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档