quartus中宏功能模块的使用.pptxVIP

  1. 1、本文档共16页,可阅读全部内容。
  2. 2、原创力文档(book118)网站文档一经付费(服务费),不意味着购买了该文档的版权,仅供个人/单位学习、研究之用,不得用于商业用途,未经授权,严禁复制、发行、汇编、翻译或者网络传播等,侵权必究。
  3. 3、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。如您付费,意味着您自己接受本站规则且自行承担风险,本站不退款、不进行额外附加服务;查看《如何避免下载的几个坑》。如果您已付费下载过本站文档,您可以点击 这里二次下载
  4. 4、如文档侵犯商业秘密、侵犯著作权、侵犯人身权等,请点击“版权申诉”(推荐),也可以打举报电话:400-050-0827(电话支持时间:9:00-18:30)。
  5. 5、该文档为VIP文档,如果想要下载,成为VIP会员后,下载免费。
  6. 6、成为VIP后,下载本文档将扣除1次下载权益。下载后,不支持退款、换文档。如有疑问请联系我们
  7. 7、成为VIP后,您将拥有八大权益,权益包括:VIP文档下载权益、阅读免打扰、文档格式转换、高级专利检索、专属身份标志、高级客服、多端互通、版权登记。
  8. 8、VIP文档为合作方或网友上传,每下载1次, 网站将根据用户上传文档的质量评分、类型等,对文档贡献者给予高额补贴、流量扶持。如果你也想贡献VIP文档。上传文档
查看更多
QuartusⅡ中宏功能模块的使用QuartusⅡ软件针对常用的功能,提供了参数化(Parameterized)的宏功能(megafunctions)模块,通过调用宏功能模块,可以减少工作量,加快设计的进程。用户可以通过向导工具MegaWizard Plug-In Manager调用宏功能。该向导工具帮助用户建立或修改包含自定义宏功能模块变量的设计文件,这些设计文件可以在用户的设计中进行实例化。西安邮电学院计算机系QuartusⅡ中宏功能模块的使用 在QuartusⅡ软件中使用MegaWizard Plug-In Manager对宏功能模块进行实例化的步骤如下: 1 选择菜单Tools ? MegaWizard Plug-In Manager(图1),或直接在原理图设计文件的Symbol对话框中点击MegaWizard Plug-In Manager(图2),则弹出对话框(图3) 。图 1图 2西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择新建一个宏功能实例,进入下一步(图4)。图 3西安邮电学院计算机系QuartusⅡ中宏功能模块的使用这里列举了可供调用的宏功能模块数学运算功能类,包括代码纠正、浮点加/减/乘法器、计数器、平方根等功能模块。逻辑门类功能类,包括与/或/非门常数发生器、反相器模块等。I/O接口功能类,包括数据收发器锁相环、I/O缓冲模块等。在系统调试类,包括串/并载入SignalTap逻辑分析、虚拟JTAG接口模块等。寄存器类,包括各种ROM、RAM和FIFO模块。须购买的IP模块存储器类,包括各种参数化的锁存器、移位寄存器模块等。图 4西安邮电学院计算机系QuartusⅡ中宏功能模块的使用下面是一个双口RAM的例化及调用过程:选择器件选择输出语言在工程目录下设置例化的文件名选择双口RAM图 5西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择端口模式-分立的读写端口选择读写模式-按字节读写图 6西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择存储深度选择字宽选择例化时调用的资-M4K资源/逻辑资源(LC)图 7西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择异步读写时钟图 8西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择读出端是否加D触发器图 9西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选择存储空间初始化方式及初始值图 10西安邮电学院计算机系QuartusⅡ中宏功能模块的使用仿真与综合相关信息图 11西安邮电学院计算机系QuartusⅡ中宏功能模块的使用选取输出的文件.bsf 文件:图形编辑器中使用的宏功能模块符号.v文件:Verilog HDL实例化的宏功能模块包装文件完成定制过程图 12西安邮电学院计算机系QuartusⅡ中宏功能模块的使用图形输入调用:新建图形输入文件,在空白区域双击左键弹出元件选取对话框如图,Project目录下将出现实例化 ram4k元件供调用图 13西安邮电学院计算机系QuartusⅡ中宏功能模块的使用也可在程序中嵌入以下语句实现调用:…ram4k ram4k ( .data ( ), .rdaddress ( ), .rdclock ( ), .wraddress ( ), .wrclock ( ), .wren ( ), .q ( ) );… 这段程序将实现子程序模块的例化图 15图 14 西安邮电学院计算机系QuartusⅡ中宏功能模块的使用Altera的Megafunction是重要的设计输入资源。由于Megafunction是基于Altera底层硬件结构最合理的成熟应用模块的表现,所以在代码中尽量使Megafunction这类IP资源,不但能将设计者从繁琐的代码编写中解脱出来,更重要的是在大多数情况下Megafunction的综合和实现结果比用户编写的代码更优。Megafunction包括Altera的参数化模块库(LPM,library of parameterized modules),器件专有的Megafunction模块,用Altera MegaCore IP生成工具调用的IP Core,以及Altera Megafunction计划协作者(AMPP, Altera Megafunction Parterners Program)提供的第三方IP Core。特别是针对一些与Altera器件底层结构相关的特性,必须通过Megafunction实现,例如一些存储器模块(DPRAM、SPRAM、FIFO、CAM等),DSP模块,LVDS驱动器,PLL,高速串行收发器(SERDERS),DDR输入/输出(DDIO)等。另外一些诸如乘法器、计数器、加法器、滤波器等电路虽然也可以直接用代码描述,然后用通用

文档评论(0)

118zhuanqian + 关注
实名认证
文档贡献者

该用户很懒,什么也没介绍

1亿VIP精品文档

相关文档